基于ARM9的電火花線切割機數(shù)控系統(tǒng)研究_第1頁
基于ARM9的電火花線切割機數(shù)控系統(tǒng)研究_第2頁
基于ARM9的電火花線切割機數(shù)控系統(tǒng)研究_第3頁
基于ARM9的電火花線切割機數(shù)控系統(tǒng)研究_第4頁
基于ARM9的電火花線切割機數(shù)控系統(tǒng)研究_第5頁
已閱讀5頁,還剩41頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、煙臺南山學(xué)院煙臺南山學(xué)院畢業(yè)設(shè)計(論文)學(xué) 院:計算機與電氣自動化學(xué)院專 業(yè): 電氣工程及其自動化 班 級: 電氣工程09級 學(xué) 號: 200902100238 學(xué)生姓名: 劉小剛 指導(dǎo)教師: 胡彩霞 煙臺南山學(xué)院教務(wù)處制基于arm9的電火花線切割機數(shù)控系統(tǒng)研究摘要由于電火花線切割加工技術(shù)具有能適應(yīng)多種硬度與形狀的材料加工,加工精度高的特點,在成形刀具加工、模具制造、精密復(fù)雜零件和高硬度材料的加工等方面有較為廣泛的應(yīng)用,因此電火花線切割機床在我國有十分廣泛的應(yīng)用。在我國,高速走絲電火花線切割技術(shù)經(jīng)過數(shù)十年的發(fā)展技術(shù)已經(jīng)達到比較成熟的水平,但與國外慢走絲電火花線切割技術(shù)相比,無論從加工速度、精度

2、、自動化程度、加工穩(wěn)定性等工藝指標上看還是從外觀上看,國內(nèi)的技術(shù)水平明顯低了一個檔次。其主要原因在于國內(nèi)線切割機床的脈沖電源和進給系統(tǒng)的控制水平不高,能否解決這兩方面的難題將直接影響著國內(nèi)線切割機床的發(fā)展前景。本文首先介紹了電火花線切割技術(shù)在國內(nèi)外的發(fā)展現(xiàn)狀與趨勢,針對國內(nèi)電火花線切割控制技術(shù)的不足,設(shè)計了全數(shù)字化智能型線切割脈沖電源,并基于 arm9 處理器 stm32 設(shè)計了線切割機床的總體控制系統(tǒng),用以改善現(xiàn)有線切割機床控制系統(tǒng)的不足。對于文中設(shè)計的智能型脈沖電源控制電路,本文利用 matlab/simulink 軟件進行了電路仿真,為實際電路的搭建提供參考;文中還指出進給系統(tǒng)中用伺服電

3、機代替步進電機的必要性,同樣利用 simulink 軟件對伺服電機的控制系統(tǒng)展開了仿真研究,為伺服電機的軟硬件平臺開發(fā)提供參考。另外本文還從軟硬件兩個方面對所設(shè)計的線切割機床總體控制系統(tǒng)進行了比較詳細的介紹,先是從硬件方面闡述了控制電路板的設(shè)計思路和各模塊電路的原理,又從軟件方面分別闡述了基于 arm9 處理器 stm32 芯片的初始化設(shè)置、各電路模塊的配置流程和實際應(yīng)用。其中重點介紹了 pwm 脈沖信號的產(chǎn)生過程和脈沖電源電路的調(diào)試結(jié)果,驗證了所設(shè)計的脈沖電源控制系統(tǒng)所能達到預(yù)期目標。關(guān)鍵詞:電火花線切割,控制系統(tǒng),脈沖電源,stm32第1章 緒論1.1 選題背景電火花線切割機屬于電加工范疇

4、,最早于 1960 年在蘇聯(lián)問世。前蘇聯(lián)拉扎林科夫婦在研究開關(guān)觸點受火花放電而腐蝕損壞的現(xiàn)象及原因時,發(fā)現(xiàn)電火花產(chǎn)生的瞬時高溫可以使金屬局部熔化、氧化而被腐蝕掉,從而發(fā)明了電火花加工技術(shù)。線切割機適用材料多種多樣,如高強度、高硬度、高韌性、高脆性和磁性材料,還能加工精密細小和形狀復(fù)雜的零件?,F(xiàn)有的電火花線切割機為了得到更廣泛的發(fā)展,必須設(shè)法降低生產(chǎn)成本,縮短加工時間,提高產(chǎn)品的質(zhì)量、產(chǎn)量及其經(jīng)濟性。本文提出的基于 arm9 控制芯片的全新的電火花線切割機控制系統(tǒng),正好滿足現(xiàn)有的線切割機的改進思想,對提高線切割機的加工效率、質(zhì)量和經(jīng)濟性具有重要意義。1.2 國內(nèi)外線切割機發(fā)展現(xiàn)狀及趨勢線切割機自

5、從上世紀 50 年代末問世至今,每年都取得快速的發(fā)展,應(yīng)用也越來越廣。如今隨著數(shù)控技術(shù)的日益成熟,線切割機床的發(fā)展迎來了更為廣闊的前景。線切割機在我國及國外的發(fā)展方向有所不同,目前我國研制生產(chǎn)和使用的絕大多數(shù)為快速往復(fù)走絲的電火花線切割機,而國外研制生產(chǎn)和使用的主要為慢速單向走絲的電火花線切割機。兩者相比起來,除了采用的工藝不同外,無論在加工速度、精度、功能、自動化程度、可靠性、穩(wěn)定性和加工工藝指標,或者在外觀等方面,前者都低于后者一個檔次。從加工速度上來說,我國的電火花線切割機的最大加工速度一般為250mm 2/ min,而國外的低速線切割機床最大加工速度為 500mm2 / min;從尺寸

6、精度及表面粗糙度上來說,我國線切割機床一般為 5.0 m(國家標準),ra 0.50.8 m;而國外能達到 1.0 m,ra 0.05 m。國外的電火花線切割加工技術(shù)已經(jīng)達到比較高的水平,今后預(yù)計必將向高速化、高精度化及高自動化的方面發(fā)展,這也是近年來經(jīng)濟型機床的一個發(fā)展趨勢。而我國的電火花線切割技術(shù)在未來幾年甚至幾十年都將會有大好的發(fā)展機會。伴隨著十二五規(guī)劃我國高端裝備制造業(yè)將迎來發(fā)展的春天,信息化、智能化和綠色環(huán)?;瘜⑹俏覈€切割技術(shù)的發(fā)展方向。1.3本文研究的主要內(nèi)容本文將基于 st(意法半導(dǎo)體)公司的 arm9 芯片 stm32 開發(fā)全新的智能電火花線切割機控制系統(tǒng),主要包括節(jié)能脈沖電

7、源 pwm 波形的智能反饋控制系統(tǒng)軟硬件設(shè)計和進給控制系統(tǒng)中步進電機等其他硬件電路的設(shè)計。全文主要內(nèi)容分為如下六個章節(jié):第一章為緒論,總結(jié)敘述了本課題的選題背景,國內(nèi)外線切割機的發(fā)展現(xiàn)狀及趨勢,點明了本課題的主要研究工作。第二章提出了基于 arm9 處理器的線切割控制系統(tǒng)的總體設(shè)計方案,特別是闡述了數(shù)字化脈沖電源的設(shè)計和進給系統(tǒng)中控制電機的選擇與論證,并說明了設(shè)計方案要實現(xiàn)的功能和目的。第三章運用 matlab/simulink 軟件對全數(shù)字化脈沖電源的設(shè)計電路和伺服電機的控制系統(tǒng)進行仿真研究,得到控制模型運行在較為理想狀態(tài)下的控制參數(shù)。第四章簡單敘述了所選微處理器的特性,對線切割控制系統(tǒng)的主

8、要硬件電路模塊進行分析介紹,并闡述了設(shè)計思路和注意事項。第五章闡述了線切割控制系統(tǒng)各軟件模塊驅(qū)動程序的開發(fā)并配以實例,其中重點敘述了 pwm 脈沖信號的生成。第六章總結(jié)研究成果,對所做的研究工作做進一步展望。第2章 線切割控制系統(tǒng)的總體設(shè)計方案2.1 電火花線切割機床加工原理電火花線切割機床加工是利用工具電極(鉬絲)和工件兩極之間脈沖放電時產(chǎn)生的電腐蝕現(xiàn)象對工件進行尺寸加工。電火花腐蝕主要原因:兩電極在絕緣液體中靠近時,由于兩電極的微觀表面是凹凸不平,其電場分布不均勻離得最近凸點處的電場度最高,極間介質(zhì)被擊穿,形成放電通道,電流迅速上升。在電場作用下,通道內(nèi)的負電子高速奔向陽極,正離子奔向陰極

9、形成火花放電,電子和離子在電場作用下高速運動時相互碰撞,陽極和陰極表面分別受到電子流和離子流的轟擊,使電極間隙內(nèi)形成瞬時高溫?zé)嵩矗ǖ乐行臏囟冗_到10000度以上。以致局部金屬材料熔化和氣化【1】。2.2 線切割機床控制系統(tǒng)的總體設(shè)計線切割機床的控制系統(tǒng)要求實時性高,控制精度高,能處理大量的數(shù)據(jù),還要易于與 pc 上位機進行通訊,現(xiàn)有的單片機已經(jīng)不能滿足這些要求。arm 處理器相對于 8 位單片機具有速度更快,性能更強,資源更豐富等特性,比如在中斷、ad、lcd控制器、dma 和存儲系統(tǒng)等方面 arm 處理器都具有獨到的優(yōu)勢。本文采用 arm9芯片stm32作為線切割機床控制系統(tǒng)中最重要的兩個

10、部分數(shù)控系統(tǒng)和脈沖電源的主處理器,配合主芯片的一些外圍應(yīng)用芯片和接口電路構(gòu)成整個控制系統(tǒng)的硬件部分。線切割機床控制系統(tǒng)的總體結(jié)構(gòu)框圖如圖 2.1所示。線切割機床arm9處理器串口通訊can總線pwm電源伺服電機數(shù)控系統(tǒng)linux控制界面顯示模塊鍵盤圖 2.1 線切割機床控制系統(tǒng)總體框圖arm9 處理器作為主要控制單元,將負責(zé)線切割控制系統(tǒng)的絕大部分控制工作。除了輸出 pwm 脈沖供電源和電機使用,arm9 處理器還需要執(zhí)行顯示任務(wù)、響應(yīng)鍵盤的控制指令、進行串口和 can 總線的數(shù)據(jù)傳輸控制、處理數(shù)控系統(tǒng)中控制界面的命令以及同 pc 機進行數(shù)據(jù)交換。2.3 數(shù)字化脈沖電源的設(shè)計方案本文提出了一種

11、全新的基于 arm9 處理器的高頻脈沖電源設(shè)計方案,此脈沖電源不但 pwm 脈沖的脈寬和脈間可調(diào),而且頻率也能夠連續(xù)自動調(diào)節(jié)。對 pwm 脈沖波形進行自動調(diào)節(jié)主要是為了控制加工時電極絲的電流大小,保證加工的精度和穩(wěn)定性。當檢測到的電極絲上的電流過大時,只要通過反饋控制系統(tǒng)(arm 控制)調(diào)節(jié)pwm 脈沖的占空比或者 pwm 脈沖的頻率,就能達到降低電極絲加工電流的目的,從而保證電極絲加工質(zhì)量。數(shù)字化線切割高頻脈沖電源的系統(tǒng)框圖如圖 2.2 所示。100v+連接控制計算機差動放大電路igbt輸出電路 床身及鉬絲工件降壓及光電隔離pwm光 電隔 離arm9系統(tǒng)檢流計隔離變壓器100vg顯示屏輸入設(shè)

12、置圖 2.2 數(shù)字化線切割脈沖電源的系統(tǒng)框圖基于 arm9 處理器的數(shù)字化線切割高頻脈沖電源的主要特點有【2】:1. 直接采用 rs232 標準通訊接口與上位機通訊;2. 系統(tǒng)集成了現(xiàn)場總線 can 總線和 lin 總線,方便多臺線切割機的集中控制;3. 系統(tǒng)預(yù)留 jatg 編程接口,方便用戶的系統(tǒng)升級和維護;4. 通過 arm 定時器直接產(chǎn)生 pwm 信號,提供線切割工作時需要的高頻脈沖;5. 同時集成了伺服電機的控制;6. 具有常規(guī)線切割機控制所需要的接口;7. 提供 4 路 ad 接口,為線切割機的功能擴展和實現(xiàn)實時在線檢測提供支持;8. 具有 sd 卡接口,也可以通過該系統(tǒng)直接控制線切

13、割機的工作;9. 裝備有 2.9 英寸的彩色屏,提供 hmi(人機界面)的操作;10. pwm 的脈寬和脈間的調(diào)整和 pwm 的分時分組操作,也可通過 hmi 實現(xiàn);11. 符合電加工行業(yè)標準設(shè)計;12. 集高頻脈沖電源,伺服驅(qū)動電源為一體;13. 采用新型芯片過濾脈沖雜波可使絲耗降低 30%;14. 最大切割厚度可達 1 米以上;15. 最大切割速度可達160mm 2/ min以上(需特定條件);16. 加工表面粗糙度 ra2.5m。2.4 線切割進給系統(tǒng)中電機的選擇目前國內(nèi)大部分線切割機床的進給系統(tǒng)都由步進電機控制,雖然控制精度卻不高,但成本低、控制簡單。步迸電機是一種將電脈沖信號換成相應(yīng)

14、角位移或直線位移的控制電動機。利用它可以組成一個簡單實用的伺服系統(tǒng),且不需要反饋環(huán)節(jié),所以在開環(huán)數(shù)控系統(tǒng)中獲得極其成功的應(yīng)用。概括起來步進電機的主要使用特點如下:l、每個脈沖對應(yīng)一個步距角;2、脈沖頻率與電機轉(zhuǎn)速成正比;3、脈沖頻率變化太快,會引起失步或過沖;4、改變脈沖分配的相序即可改變電機旋轉(zhuǎn)方向;交流伺服電機的應(yīng)用難題在于其復(fù)雜的伺服控制系統(tǒng)(全閉環(huán)控制),其成本高,控制難度大。目前常用的伺服電機為永磁交流同步伺服電機(pmsm),其矢量控制系統(tǒng)能夠?qū)崿F(xiàn)高精度、高動態(tài)性能,并可進行大范圍調(diào)速或定位控制,作為線切割機床進給系統(tǒng)的驅(qū)動電機大有潛力。由于步進電機應(yīng)用已經(jīng)比較成熟,又比伺服電機成

15、本低,本文控制電機仍選擇步進電機,但下文仍將進一步討論交流永磁同步電機的全閉環(huán)伺服控制系統(tǒng),為以后伺服電機的成熟應(yīng)用打下基礎(chǔ)。第3章 脈沖電源與伺服電機的 matlab 仿真研究3.1 matlab/simulink 簡介matlab 是矩陣實驗室(matrix laboratory)的簡稱,matlab 的應(yīng)用范圍非常廣,包括信號和圖像處理、通訊、控制系統(tǒng)設(shè)計、測試和測量、財務(wù)建模和分析以及計算生物學(xué)等眾多應(yīng)用領(lǐng)域。附加的工具箱(單獨提供的專用 matlab 函數(shù)集)擴展了 matlab 環(huán)境,可以解決這些應(yīng)用領(lǐng)域內(nèi)特定類型的問題。simulink是matlab軟件下的一個附加組件,是一個用

16、來對動態(tài)系統(tǒng)進行建模、仿真和分析的matlab軟件包。支持連續(xù)、離散以及兩者混合的線性和非線性系統(tǒng),同時它也支持具有不同部分擁有不同采樣率的多種采樣速率的仿真系統(tǒng)。在其下提供了豐富的仿真模塊。其主要功能是實現(xiàn)動態(tài)系統(tǒng)建模、方針與分析,可以預(yù)先對系統(tǒng)進行仿真分析,按仿真的最佳效果來調(diào)試及整定控制系統(tǒng)的參數(shù)。simulink仿真與分析的主要步驟按先后順序為為:從模塊庫中選擇所需要的基本功能模塊,建立結(jié)構(gòu)圖模型,設(shè)置仿真參數(shù),進行動態(tài)仿真并觀看輸出結(jié)果,針對輸出結(jié)果進行分析和比較。它為用戶提供了一個圖形化的用戶界面(gui)。對于用方框圖表示的系統(tǒng),通過圖形界面,利用鼠標單擊和拖拉方式,建立系統(tǒng)模型

17、就像用鉛筆在紙上繪制系統(tǒng)的方框圖一樣簡單,它與用微分方程和差分方程建模的傳統(tǒng)仿真軟件包相比,具有更直觀、更方便、更靈活的優(yōu)點。不但實現(xiàn)了可視化的動態(tài)仿真,也實現(xiàn)了與matlab、c或者fortran語言,甚至和硬件之間的數(shù)據(jù)傳遞,大大擴展了它的功能。3.2 數(shù)字化脈沖電源電路的仿真3.2.1 脈沖電源的設(shè)計本文設(shè)計的脈沖電源控制電路原理如圖 3.1 所示。220v、50hz 的交流電源經(jīng)過一級變壓器后輸出 110v、50hz 的交流電源,再經(jīng)過二極管整流電路后得到線切割加工所需的直流電源。此直流電源經(jīng)過電容的濾波后,通過限流電阻便可給工件及電極絲供電。電路中帶正負極且電容值較大的鉭電容作為充放

18、電電容可以保持直流電源的穩(wěn)定性。電極絲加工過程中通過電流互感器等檢測元件,檢測到加工瞬時電流和電壓,經(jīng)過 a/d 模數(shù)轉(zhuǎn)換后送入 arm處理器進行運算處理,得到校正值再經(jīng)功率放大模塊作為igbt(insulated gate bipolartransistor,即絕緣柵雙極型晶體管)的輸入控制信號,以此來達到控制加工過程的目的。這一反饋控制過程能實時調(diào)節(jié)電極絲的加工電流,保證加工的質(zhì)量。100v+100vgac220v圖 3.1 脈沖電源控制電路原理圖3.2.2 脈沖電源的仿真利用 simulink 軟件包里的仿真庫作為脈沖電源電路仿真的主要模塊庫,該模塊庫包含等幾個模塊庫,從中可以找到仿真需

19、要的電源、變壓器、二極管、電容電阻等器件。由于該仿真庫沒有線切割加工的仿真模塊,本文利用直流電機模擬線切割加工時的負載變化。而且電機運轉(zhuǎn)時的仿真參數(shù)易于觀察,有利于分析電路仿真的效果和各元件參數(shù)的選擇。按照圖 3.1 所設(shè)計的電路原理搭建線切割脈沖電源電路仿真模型對其進行仿真。初始電源為交流 220v,經(jīng)過變壓器調(diào)壓成交流 110v,再經(jīng)四個二極管組成的整流橋?qū)⒔涣麟妷恨D(zhuǎn)換成直流電壓,最后經(jīng)過幾個電容即可給線切割工件與電極絲供電。電容的選擇需要注意,需要一個大電容作為充放電電容保證電壓的穩(wěn)定性,仿真電路選擇 470 f帶正負極的鉭電容作為充放電電容;同時需要 1 f左右的小電容起到濾波作用。經(jīng)

20、過濾波的直流電壓經(jīng)過限流電阻后便可接入電機的正極,電機負極經(jīng)過理想電流表后與 igbt 的集電極相連,igbt 的發(fā)射極與整流橋、電容共地。其中直流電機的參數(shù)如圖 3-3 所示,電機勵磁電壓一般選用 55v,負載轉(zhuǎn)矩為4 n/m。圖 3-3 直流電機仿真參數(shù)利用 simulink 進行電路仿真之前需要設(shè)置仿真參數(shù),這是十分重要的一步。選擇“simulation”菜單下的“parameters”命令可以設(shè)置仿真參數(shù)和選擇解法器。仿真參數(shù)包括仿真時間、仿真步長模式、步長參數(shù)和仿真精度的定義等選擇,其中主要參數(shù)仿真步長模式的選取方式有兩種:固定步長和變步長。固定步長模式在仿真過程中提供固定的步長,不

21、提供誤差控制和過零檢測;變步長模式可以在仿真過程中改變步長,提供誤差控制和過零檢測。文中仿真選用變步長模式,其解法器共有 8種,默認解法器是 ode45,即四/五階龍格-庫塔法,它適用于大多數(shù)連續(xù)或離散系統(tǒng),但不適用于剛性(stiff)系統(tǒng)。它為單步解法器,也就是在計算 y(tn)時,它僅需要最近處理時刻的結(jié)果 y(tn-1)。文中選用 ode15s 解法器,它是一種基于數(shù)字微分公式的解法器(ndfs),是一種多步解法器,需要以前多個時刻的值。當用戶要解決的問題比較困難,或者不能使用 ode45,或者使用效果不好時,一般選用 ode15s,它也適用于剛性系統(tǒng)23。設(shè)置好仿真各模塊的參數(shù)后即可運

22、行仿真模型,經(jīng)過對仿真的結(jié)果和波形進行觀察并調(diào)整仿真參數(shù)以得到更好的效果后,最終得到比較好的仿真效果波形如圖3-5,3-6 所示。圖 3-5 直流電機的仿真波形檢測反饋主回路的電流表的仿真波形如圖 3-6 所示。電流漸漸增大直到 5s 之后趨于穩(wěn)定,它的大小跟電機電流的大小是一致的。圖 3-6 電流表檢測的電流波形3.3 伺服電機控制系統(tǒng)的仿真3.3.1 伺服電機控制方式的選擇伺服電機的控制方式一般分為三種:速度控制、轉(zhuǎn)矩控制和位置控制。速度控制和轉(zhuǎn)矩控制都是用模擬量來控制的,位置控制是通過發(fā)脈沖來控制的。而電機的伺服控制系統(tǒng)一般為三個閉環(huán)的負反饋 pid 調(diào)節(jié)控制環(huán),其中第 1 環(huán)電流環(huán)是最

23、內(nèi)環(huán)也是最基礎(chǔ)的一環(huán);第 2 環(huán)是速度環(huán);第 3 環(huán)是位置環(huán),也是最外環(huán)。3.3.1 pmsm 的控制原理本文選用用途比較廣泛的交流永磁同步電機(pmsm)作為研究對象,其控制系統(tǒng)中的矢量變換控制和空間電壓脈寬調(diào)制技術(shù)(svpwm)使其具備高精度及高動態(tài)性能特性,并可進行大范圍調(diào)速和精確定位控制。矢量變換控制原理是建立在坐標變換理論下的控制方法,其中坐標變換包括 clark 變換、park 變換和 park 反變換,三種變換的數(shù)學(xué)模型為:clark 變換:park變換:park反變換:其中id 、iq 表示電機內(nèi) dq 旋轉(zhuǎn)坐標系的電流;ia、ib、ic表示電機三相電路;i、i表示三相電流經(jīng)

24、clark 變換后在 直角坐標系下的電流。pmsm 轉(zhuǎn)子速度控制原理如圖 3-8 所示。其控制原理可大致概括為:運轉(zhuǎn)的電機首先通過光電編碼器檢測出電機轉(zhuǎn)子的位置,將其轉(zhuǎn)換成角度r 和轉(zhuǎn)速r反饋于給定的轉(zhuǎn)速和電流;電機定子的三相電流經(jīng)檢測電路取得后也通過坐標變換反饋給初值,再經(jīng)過 pid 調(diào)節(jié)器獲得理想的控制量后進行 park 反變換,得到的控制量最后由svpwm計算產(chǎn)生6路pwm信號(電壓信號)經(jīng)逆變器輸出控制電機的轉(zhuǎn)速和轉(zhuǎn)矩,整個控制系統(tǒng)構(gòu)成了一個完整的反饋控制系統(tǒng)2526。圖 3-8 pmsm 轉(zhuǎn)子速度控制原理3.3.3 pmsm 速度控制模型的建立根據(jù)圖3-8所示的交流永磁同步電機速度控

25、制原理搭建仿真模型步驟如下2728:(1)坐標變換模塊以 park 反變換為例,仿真模型如圖 3-9 所示。圖 3-9 park 反變換仿真模型(2)svpwm 模塊svpwm 模塊的主要功能是使電機獲得幅值恒定的圓形磁場。當電機通以三相對稱的正弦電壓時,交流電機內(nèi)產(chǎn)生理想的圓形磁鏈并且以此磁鏈為基準,通過逆變器不同的開關(guān)模式產(chǎn)生的實際磁通去逼近基準圓形磁鏈,從而使電機達到較高的控制性能。(3)扇區(qū)選擇逆變器共有 8 種工作狀態(tài),取其中 6 個非零的開關(guān)狀態(tài),可得到 6 個均勻分布的空間電壓矢量。而三相電壓 a、b、c 在空間互差 120,扇區(qū)的選擇可根據(jù)矢量v、v的大小關(guān)系來判斷。當v0 時

26、,令相位 a=1,否則 a=0;當 3v-v0 時,令相位 b=1,否則 b=0;當- 3v-v0 時,令相位 c=1,否則 c=0。可得扇區(qū)號的計算等式為 n=a+2b+4c,取值為 16 的整數(shù)之一。其仿真模型如圖 3-10。圖 3-10 扇區(qū)號 n 仿真模型(4)計算 x, y, z 和t1 ,t2用t1 ,t2來表示空間不同矢量的作用時間。令 x=2v ts / udc ,y=(v +v )ts /udc ,z=(v -v )ts /udc ,不同扇區(qū)的作用時間t1 ,t2 可按照表 3-1 取值。若t1+ t2 ts ,則t1 = t1 ts /( t1+ t2), t2 = t2

27、ts /( t1+ t2) 其中t s為 svpwm 的周期 0.1ms 的周期 0.1ms。表 3-1 t1和t2 賦值表根據(jù)以上分析搭建的t1 與t2 仿真模型如圖 3-11 所示。圖 3-11 t1 與t2的仿真模型(5)計算矢量切換點tcm1 、tcm2 、tcm3根據(jù)等式ta= (tst1t2)/4,tb=ta+t1/2, tc=tb+t2/2,確定在不同扇區(qū)內(nèi)tcm1 、tcm2 、tcm3的取值,其結(jié)果見表 3-2。表 3-2 tcm1 、tcm2 、tcm3賦值表tcm1 、tcm2 、tcm3的仿真模型如圖 3-12 所示。圖 3-12 tcm1 、tcm2 、tcm3的仿真

28、模型(6)生成 pwm 脈沖波形將tcm1 、tcm2 、tcm3的值與設(shè)定幅值的等腰三角形波形進行比較,就可以得到空間矢量對稱的 pwm 波形。pwm2、pwm4、pwm6 是由 pwm1、pwm3、pwm5 通過非運算得到的。生成 6 路 pwm 脈沖波形的仿真模型如圖 3-13 所示。圖 3-13 生成 pwm 仿真模型將以上各個子模塊整合搭建成完整的 svpwm 系統(tǒng),仿真模型如圖 3-14 所示。圖 3-14 svpwm 整體仿真模型(7)pmsm 整體仿真模型借助 matlab/simulink 模塊庫提供的 universal bridge 逆變器模塊和 permanentmag

29、net synchronous machine 電機模塊整合搭建的 pmsm 閉環(huán)矢量控制系統(tǒng)如圖3-15 所示。圖 3-15 pmsm 整體仿真模型3.3.4 控制模型仿真結(jié)果及分析對圖 3-15 所建立的控制模型進行仿真實驗,pmsm 相關(guān)仿真參數(shù)為:pn=4,r=0.62 , f=0.08627wb,ld=lq=0.002075h,j=0.0003617kg/ m2,給定轉(zhuǎn)速為20 rmin-1,udc =300v,ts=0.1ms。仿真結(jié)果及分析如下:當給予電機轉(zhuǎn)矩tm =5n /m,信號為階躍信號時,在 t=0.01s 時電機啟動后得到的三相電流、轉(zhuǎn)矩和轉(zhuǎn)速的波形如圖 3-16 (a

30、)(c)所示。從仿真得出的波形可以看出,電機的轉(zhuǎn)速、轉(zhuǎn)矩和三相電流在短時間內(nèi)即達到穩(wěn)定,說明此控制系統(tǒng)響應(yīng)好,能平穩(wěn)運行,符合伺服電機的控制要求。(a)(b)(c)圖 3-16 轉(zhuǎn)矩為階躍信號時三相電流、轉(zhuǎn)矩、轉(zhuǎn)速仿真波形(a) 三相電流 (b)轉(zhuǎn)矩 (c)轉(zhuǎn)速當給予電機的轉(zhuǎn)矩信號為斜坡信號、起始值為-6 終止值為 6 時,電機三相電流、轉(zhuǎn)矩及轉(zhuǎn)速的波形如圖 3-17(a)(c)所示。從仿真結(jié)果可知,若給予電機的轉(zhuǎn)矩或控制信號越平穩(wěn),過渡越好,電機的運行將越穩(wěn)定可靠,因此電機的控制信號需要經(jīng)過pid 控制環(huán)調(diào)節(jié)。(a)(b)(c)圖 3-17 轉(zhuǎn)矩為斜坡信號時三相電流、轉(zhuǎn)矩、轉(zhuǎn)速仿真波形(a)

31、三相電流 (b)轉(zhuǎn)矩 (c)轉(zhuǎn)速當給予電機的轉(zhuǎn)矩信號為正弦波信號,峰值為 6,頻率為 8rad/s 時,電機三相電流、轉(zhuǎn)矩和轉(zhuǎn)速的波形如圖 3-18(a)(c)所示。雖然三相電流的幅值因為正弦信號略有變化,但其相位角仍然滿足相差 120的要求。若給予電機的正弦波信號頻率過大或過小,電機三相電流不僅幅值相差很大,而且相位角也不能滿足要求,這對電機的傷害很大,控制效果也很不理想。(a)(b)(c)圖 3-18 轉(zhuǎn)矩為正弦波信號時三相電流、轉(zhuǎn)矩、轉(zhuǎn)速仿真波形(a)三相電流 (b)轉(zhuǎn)矩 (c)轉(zhuǎn)速第4章 線切割控制系統(tǒng)硬件電路設(shè)計4.1 基于 stm32 的總體硬件設(shè)計stm32 系列處理器是世界頂級

32、的半導(dǎo)體廠商意法半導(dǎo)體(st)公司于 2007 年 6月推出的一款基于 arm 公司開發(fā)的 cortex-m3 內(nèi)核的微處理器。stm32 微處理器按性能分為兩個不同的系列:stm32f103“增強型”系列和 stm32f101“基本型”系列。stm32f103xx 增強型系列使用的內(nèi)核為高性能的 32 位 arm cortex-m3 內(nèi)核,其最大工作頻率為 72mhz,內(nèi)置豐富的增強型 i/o 端口,高速存儲器(20k 字節(jié)的 sram及 128k 字節(jié)的閃存)和聯(lián)接到兩條 apb(advanced peripheral bus)總線的外設(shè)。完整的 stm32f103xx 增強型系列產(chǎn)品包括

33、從 36 腳到 100 腳的五種封裝形式,所有型號的器件都含有通用 16 位定時器、12 位 adc 和 pwm 定時器等功能模塊,還包含標準和先進的通信接口:usart 串口、usb、can 及 spi 總線等。這些豐富的外設(shè)配置使得 stm32 系列微處理器適合于多種應(yīng)用場合:電機驅(qū)動與應(yīng)用控制、pc 外設(shè)與 gps 平臺、醫(yī)療及手持設(shè)備、警報系統(tǒng)及其他工業(yè)應(yīng)用1929。本文采用的微處理器是stm32的增強型系列stm32f103c8t6。該型號在外設(shè)方面包含有三個16位的通用定時器,每個定時器都有4路通道,每個通道有三種工作模式可供選擇,即pwm控制模式、輸入捕獲模式和輸出比較模式;一個

34、16位的高級定時器專門用于電機驅(qū)動;一個12位逐次逼近型的模擬/數(shù)字轉(zhuǎn)換器,轉(zhuǎn)換時間最快為1微妙。另外通訊接口包括:兩個i2c總線接口,支持芯片間數(shù)據(jù)的通訊與傳輸;兩個spi通訊口,支持18mhz的主從控制模式;三個usart通訊口,支持全雙工數(shù)據(jù)交換,波特率最高可以達到4.5mbps;一個can總線接口,兼容規(guī)范2.0a和2.0b (主動),位速率達1兆位/秒,它可以接收和發(fā)送11位標識符的標準幀,也可以接收和發(fā)送29位標識符的擴展幀。此外還有常用的usb接口。stm32f103c8t6為lqfp48封裝,以它為核心處理器設(shè)計的總體硬件模塊如圖4-1所示。圖 4-1 主芯片總體硬件模塊設(shè)計t

35、im 定時器經(jīng)光隔器件輸出的 pwm 脈沖信號即可作為脈沖電源的初始信號,又可作為進給系統(tǒng)中電機的驅(qū)動信號;gpio 口作為普通的輸入輸出信號端將與鍵盤模塊通訊,同時控制顯示模塊指示電機或其他設(shè)備的工作狀態(tài);通用 usart 同步/異步接受發(fā)送器將通過 st3232 串口轉(zhuǎn)換芯片與上位機實現(xiàn)串口通訊;can 協(xié)議控制器通過 82c250 接口芯片實現(xiàn)與 can 物理總線的通訊,可以與局域網(wǎng)內(nèi)各 can節(jié)點進行數(shù)據(jù)交換;adc 通道采集電極絲上的電流經(jīng)模數(shù)轉(zhuǎn)換后通過一定的運算反饋給定時器模塊。下面將重點介紹幾個重要模塊的電路設(shè)計及注意事項。4.2 各模塊硬件電路設(shè)計本控制系統(tǒng)的硬件電路采用 pr

36、otel 99se 軟件進行設(shè)計。protel 99se 是prokltechnology 公司開發(fā)的基于 windows 環(huán)境下的電路板設(shè)計軟件,該軟件功能強大,人機界面友好,易學(xué)易用,是業(yè)界人士首選的電路板設(shè)計工具。它由兩大部分組成:電路原理圖設(shè)計(sch 文件)和多層印刷電路板設(shè)計(pcb 文件)。4.2.1 主芯片電路板主芯片電路板主要包含了電源模塊和 jtag 模塊的電路設(shè)計。電源電路如圖 4-2所示,ld1117-3v3 是個電壓轉(zhuǎn)換芯片,輸入 5v 輸出 3.3v 給主芯片供電。如果外接電源是 12v 或 24v,也可以通過其他電壓轉(zhuǎn)換芯片降壓,輸出電路需要的電源。電路中的電容起

37、濾波作用,濾除電路中的高頻交流電,選擇時需要考慮其耐壓值。led燈指示電路 3.3v 電源是否正常工作。圖 4-2 電源電路設(shè)計jtag(joint test action group)模塊即程序燒錄端口,是一種國際標準測試協(xié)議,主要用于芯片內(nèi)部測試,支持可編程芯片的在線系統(tǒng)編程。標準的 jtag接口是 4 線:tms、tck、tdi、tdo,分別為模式選擇、時鐘、數(shù)據(jù)輸入和數(shù)據(jù)輸出線。jtag 電路設(shè)計圖如圖 4-3 所示,圖中的幾個網(wǎng)絡(luò)標號都是主芯片內(nèi)部的 jtag 接口,通過專用的下載線可以把編譯后的文件數(shù)據(jù)燒寫到芯片的flash 或 ram 中。圖 4-3 jtag 模塊電路設(shè)計除了以

38、上兩個主要模塊,再加上芯片外圍晶振、電容和跳線接口等器件便構(gòu)成了主芯片電路板的設(shè)計,其電路原理圖及 pcb 設(shè)計圖見附錄 b。主芯片電路板采用上下雙層板設(shè)計,其中跳線接口方便主芯片板與控制系統(tǒng)其他電路板進行信號連接。4.2.2 armpwm 輸出主控板armpwm 主控板通過跳線接口連接 arm 主芯片板的所有控制輸入輸出口,實現(xiàn)控制信號的互通。電路分模塊設(shè)計也提高了電路板的通用性。主控板包含脈沖輸出模塊、can 通訊模塊、串口通訊模塊、復(fù)位模塊、繼電器模塊、lcd 顯示模塊與按鍵模塊。下文將主要介紹前幾種關(guān)鍵模塊的電路設(shè)計。pwm 脈沖輸出的電路設(shè)計如圖 4-4 所示。pb0 口是主芯片的

39、tim 定時器輸出口,能產(chǎn)生頻率和占空比變化的脈沖信號,經(jīng)過光隔放大器件 tlp250 輸出可直接控制igbt(insulated gate bipolar transistor,即絕緣柵雙極型晶體管)的通斷。tlp250主要用于 igbt 或功率 mosfet(金屬-氧化層-半導(dǎo)體-場效晶體管)門驅(qū)動電路的設(shè)計,其輸入電壓范圍在 10-35v,輸入電流最大為 11ma,輸出電流最大為 1.5a,轉(zhuǎn)換響應(yīng)時間只有 1.5 微妙。圖 4-4 pwm 脈沖輸出電路can 是控制器局域網(wǎng)絡(luò)(controller area network)的簡稱,由德國著名的汽車電子產(chǎn)品開發(fā)廠商 bosch 公司開發(fā)

40、,是國際上應(yīng)用最廣泛的現(xiàn)場總線之一。can 總線協(xié)議已經(jīng)成為汽車計算機控制系統(tǒng)和嵌入式工業(yè)控制局域網(wǎng)的標準總線,這主要緣于 can 網(wǎng)絡(luò)各節(jié)點之間的數(shù)據(jù)通信實時性強,另外它具有的高可靠性和良好的錯誤檢測能力使其廣泛應(yīng)用于環(huán)境溫度惡劣、電磁輻射強的工業(yè)環(huán)境。can 通訊模塊的電路設(shè)計如圖 4-5 所示。其中 pca82c250 是 can 協(xié)議控制器和物理總線間的接口,它主要為汽車或嵌入式系統(tǒng)中高速通訊(高達 1mbps)應(yīng)用而設(shè)計。此器件對總線提供差動發(fā)送能力,對 can 控制器提供差動接受能力,而 can 控制器已集成于主芯片中。圖 4-5 can 通訊模塊電路串口通訊電路設(shè)計如圖 4-6

41、所示,其作用是實現(xiàn)主芯片與 pc 上位機的數(shù)據(jù)通訊。串口通訊電路使用的標準 rs-232 接口驅(qū)動器和接收器芯片是 st 公司生產(chǎn)的st3232。它的工作電壓為 3-5.5v,是低功耗器件,速度高達 400kbps3031。圖 4-6 串口通訊電路armpwm 主控板的電路原理圖見附錄 b,它也是采用上下雙層板設(shè)計。電路板上方 24pins 的跳線端連接的是 lcd 液晶顯示器;中間兩個平行的 20pins 的單排跳線端連接主芯片板;左下角 20pins 的雙排直插標準跳線端輸出 pwmigbt 功率控制板的控制信號。4.2.3 pwmigbt 功率控制板pwmigbt 功率控制板主要功能控制

42、電極絲(鉬絲)電流的通斷,通過跳線連接經(jīng)光隔隔離器件輸出后的 pwm 脈沖信號,接入 igbt 開關(guān)的基極控制它的通斷。另外此功率控制板還有外接 100v 和 36v 的直流電源及 12v 和 5v 的交流電源,所以需要整流器把交流電轉(zhuǎn)換成直流電,并經(jīng)過電壓穩(wěn)壓器給控制電路供電。電源轉(zhuǎn)換電路如圖 4-7 所示,其中電壓穩(wěn)壓器選用 lm7812ck。圖 4-7 交直流電源轉(zhuǎn)換電路igbt 驅(qū)動電路是整個脈沖電源控制電路的關(guān)鍵,直接控制加工過程,即電極絲上電流的通斷,所以 igbt 能否正常工作至關(guān)重要。igbt 具有自關(guān)斷能力、輸入阻抗高、開關(guān)速度快、驅(qū)動功率小及管壓降低等特點,是目前中、大功率

43、開關(guān)電源最常用的開關(guān)器件。igbt 損壞的主要原因是其關(guān)斷時產(chǎn)生很大的瞬態(tài)電流,它所能承受的短路時間取決于它的飽和壓降和短路電流的大??;而且關(guān)斷時電流變化率 di/dt過大,由于漏感及引線電感的存在使得 igbt 集電極過電壓,很可能導(dǎo)致 igbt 被擊穿,因此必須采取保護措施。igbt 驅(qū)動電路設(shè)計如圖 4-8 所示,工件接 100v 直流正極,工件與電極絲之間的二極管是 dsei120 快恢復(fù)外延二極管,其反向恢復(fù)時間只有 35ns,反向擊穿電壓達 600v,主要起保護 igbt 器件的作用。pwm 脈沖信號經(jīng) p0.0 口輸入,高電平時使 igbt 導(dǎo)通,電極絲上產(chǎn)生電流;低電平時 ig

44、bt 關(guān)斷,電極絲上無電流。igbt器件選用德國 ixys 半導(dǎo)體公司生產(chǎn)的高速 igbt 器件 ixgh25n,常溫下其集電極電流可達 50a,反向擊穿電壓達 1000v。電路中的二極管 d1 與電容 c0 起到保護弱電地信號端的作用。圖 4-8 igbt 驅(qū)動電路pwmigbt 功率控制板的電路原理圖及 pcb 設(shè)計圖見附錄 b,它采用單面板設(shè)計。由于電路板直接引入 100v+及 36v+的高壓直流電源,包括 6 路 igbt 驅(qū)動電路的相關(guān)強電線路的布線需要加寬。而電路板上方的 12v 交直流電源轉(zhuǎn)換電路和pwm 控制信號跳線端等弱點線路則不需要做特別處理,但數(shù)字地與模擬地要分開。4.2

45、.4 步進電機驅(qū)動控制板本文所設(shè)計的線切割進給系統(tǒng)需要 4 路步進電機來控制,其中兩路步進電機有 5相,另外兩路電機有 3 相。3 相步進電機的驅(qū)動電路如圖 4-9 所示。36v 直流電源正極接電機各相正極,負極接脈沖電機驅(qū)動晶體管 tip122 的集電極,當控制信號使tip122 導(dǎo)通時,可控制電機開始運轉(zhuǎn)。圖 4-9 步進電機驅(qū)動電路步進電機驅(qū)動控制板的電路原理圖見附錄 b,控制板也是采用上下雙層板設(shè)計。其中單排跳線端連接電機驅(qū)動端,其他的跳線端用于控制電機或者作為電機工作狀態(tài)的顯示輸出口。第5章 線切割控制系統(tǒng)驅(qū)動軟件設(shè)計stm32f10x 系列芯片的程序開發(fā)是采用模塊化設(shè)計思想,各個功

46、能模塊或外圍接口都有自己的源文件和頭文件,只要根據(jù)需要選擇不同配置參數(shù)便可實現(xiàn)特定功能。線切割控制系統(tǒng)軟件編程需要涉及的模塊設(shè)置主要包括系統(tǒng)初始化設(shè)置、定時器模塊設(shè)置、a/d 模塊設(shè)置和通訊模塊設(shè)置??刂葡到y(tǒng)整體軟件體系如圖 5-1 所示。下面將分別介紹各個模塊的軟件設(shè)計。圖 5-1 線切割控制系統(tǒng)軟件體系5.1 系統(tǒng)初始化設(shè)置stm32f10x 系列芯片的系統(tǒng)初始化設(shè)置包含系統(tǒng)時鐘的配置、嵌套向量中斷的配置和系統(tǒng)定時器的初始化配置三個方面。系統(tǒng)時鐘作為芯片的時鐘源,是整個系統(tǒng)正常運作的基礎(chǔ);嵌套向量中斷的配置主要作用是協(xié)調(diào)內(nèi)外中斷的優(yōu)先級;系統(tǒng)定時器在 pwm 脈沖發(fā)送過程中實現(xiàn)延時操作。系

47、統(tǒng)時鐘(sysclk)可以由三種時鐘源來驅(qū)動:高速外部(hse)振蕩器時鐘、高速內(nèi)部(hsi)振蕩器時鐘和 pll 鎖相環(huán)時鐘。hse 時鐘可由外部晶體/陶瓷諧振器或者用戶外部時鐘產(chǎn)生。hsi 時鐘信號由內(nèi)部 8mhz 的 rc 振蕩器產(chǎn)生,可直接作為系統(tǒng)時鐘或在 2 分頻后作為 pll 輸入。hsi rc 振蕩器能夠在無任何外部器件的條件下提供系統(tǒng)時鐘,它的啟動時間比 hse 晶體振蕩器短,但即使在校準之后它的時鐘頻率精度仍較差。內(nèi)部 pll 可以用來倍頻 hsi rc 的輸出時鐘或 hse 晶體輸出時鐘,其設(shè)置必須在被激活前完成,一旦 pll 被激活參數(shù)就不能被改動。本文選擇的系統(tǒng)時鐘是

48、pll 鎖相環(huán) 9 倍頻外部 8mhz 時鐘后得到的 72mhzpll 時鐘。系統(tǒng)時鐘設(shè)置后,需要再配置 pclk1 和 pclk2 時鐘,它們分別對應(yīng) apb1 和 apb2 橋總線的時鐘,橋總線直接決定了各個外設(shè)和功能模塊的時鐘3234。apb(advancedperipheral bus) 橋總線指的是外圍總線,與之相對應(yīng)的是 ahb(advanced highperformance bus)系統(tǒng)總線,它們與不同外設(shè)的總線連接關(guān)系如圖 5-2 所示。圖 5-2 芯片內(nèi)橋總線的連接嵌套向量中斷配置主要設(shè)置向量表起始位置和協(xié)調(diào) dma 中斷、定時器中斷、a/d中斷等中斷的優(yōu)先級,需要到嵌套向

49、量中斷控制器進行配置。向量表起始位置可以定義在 ram 也可以定義在 flash 中,而系統(tǒng)的中斷優(yōu)先級分為優(yōu)先級和子優(yōu)先級兩種,兩種優(yōu)先級共有 4 位,即可以設(shè)置 16 個優(yōu)先級。其中復(fù)位功能、nmi 中斷和硬件失效是前三種最高級別的中斷且其優(yōu)先級類型不能更改。嵌套向量中斷控制器里有個系統(tǒng)嘀嗒(systick)校準值寄存器來控制 systick 時鐘,即系統(tǒng)定時器時鐘。它為一個 24 位的遞減計數(shù)器,systick 計數(shù)器設(shè)定初值并使能后,每經(jīng)過 1 個系統(tǒng)時鐘周期,計數(shù)值就減 1。計數(shù)到 1 時 systick 計數(shù)器自動重裝初值并繼續(xù)計數(shù),同時內(nèi)部的 countflag 標志位會置位,觸

50、發(fā)中斷36。systick時鐘實現(xiàn)對 pwm 脈沖信號的延時操作是為了防止脈沖信號上/下毛刺的產(chǎn)生。5.2 定時器模塊設(shè)置stm32f10x 系列芯片具有兩種定時器:通用定時器和高級定時器。定時器最大時鐘為 72mhz,配合預(yù)分頻可提供靈活的時鐘周期。每個 tim 都有 4 個獨立捕獲/比較通道,具備 dma/中斷功能。當通道工作在輸出比較定時模式時,一個 tim 至多可以提供 4 個不同的定時周期。通用定時器是一個通過可編程預(yù)分頻器驅(qū)動的 16 位自動裝載計數(shù)器構(gòu)成,它適用于多種場合,包括測量輸入信號的脈沖長度(輸入捕獲)或者產(chǎn)生輸出波形(輸出比較和 pwm)。通用定時器使用定時器預(yù)分頻器和

51、 rcc 時鐘控制器預(yù)分頻器,脈沖長度和波形周期可以在幾個微妙到幾個毫秒之間調(diào)整。stm32 中 tim2、tim3 和tim4 為通用定時器,其主要功能包括:可設(shè)置的 16 位向上、向下、向上/向下自動裝載計數(shù)器,16 位可編程(可以實時修改)預(yù)分頻器,計數(shù)器時鐘頻率的分頻系數(shù)為 165535 之間的任意數(shù)值;4 個獨立通道可實現(xiàn)輸入捕獲、輸出比較、pwm 生成和單脈沖模式輸出3740。高級定時器除了具備通用定時器的特性和功能之外,還可實現(xiàn)死區(qū)時間可編程的互補輸出,允許在指定數(shù)目的計數(shù)器周期之后更新定時器寄存器的重復(fù)計數(shù)器等其他功能,其輸出信號可專門用于電機的驅(qū)動。在 stm32 中高級控制

52、定時器為 tim1,它與其他通用定時器是完全獨立的,不共享任何資源41。stm32 中 tim1tim4 這 4 個定時器都可以產(chǎn)生準確的 pwm 波形,每個定時器至少有 4 個通道,可以產(chǎn)生 4 路 pwm 波形輸出。定時器的 pwm 模式描述如下:定時器時鐘經(jīng)預(yù)分頻器分頻后為計數(shù)器提供時鐘;重裝載寄存器和輸出比較寄存器的數(shù)值不斷與計數(shù)器進行比較,計數(shù)器的數(shù)值與重裝載寄存器數(shù)值相等時,復(fù)位計數(shù)器數(shù)值并翻轉(zhuǎn)輸出信號;當計數(shù)器數(shù)值與輸出比較寄存器數(shù)值相等時,翻轉(zhuǎn)輸出信號。pwm 模式如圖 5-3 所示。圖 5-3 定時器 pwm 模式輸出5.2.1 脈沖信號的端口配置脈沖信號的端口配置即為相應(yīng)的

53、 i/o 端口配置。stm32 系列芯片的 i/o(gpio)端口位都可以由軟件分別配置成多種模式,包括輸入浮空、上拉或下拉,模擬輸入,開漏輸出,推挽式輸出,推挽式復(fù)用功能和開漏復(fù)用功能。每個 gpio 端口配置有兩個 32 位配置寄存器,兩個 32 位數(shù)據(jù)寄存器,一個 32 位置位/復(fù)位寄存器,一個 16位復(fù)位寄存器和一個 32 位鎖定寄存器,每個 i/o 端口位都可以自由編程,但 i/o 端口寄存器必須按 32 位字被訪問,不允許半字或字節(jié)訪問4647。gpio 經(jīng)由上述寄存器控制可實現(xiàn)多種功能,其框圖結(jié)構(gòu)如圖 5-4 所示,各種常用外設(shè)的控制口如定時器或 ad 轉(zhuǎn)換輸入口在 gpio 中

54、都有默認的規(guī)定,不是所有的 gpio 都能實現(xiàn)所有特定功能。不過 stm32 為其 gpio 提供了復(fù)用功能,方便用戶靈活選擇使用。圖 5-4 gpio 端口框圖定時器 pwm 脈沖信號的輸出端口配置為復(fù)用推挽輸出模式,其他使能信號的輸出端口配置為推挽輸出模式,各個端口可根據(jù)數(shù)據(jù)連接需要配置成 2mhz、10mhz和 50mhz 三種模式。5.2.2 脈沖頻率和占空比的設(shè)置脈沖頻率和占空比這兩個參數(shù)決定了一個 pwm 脈沖的波形,在 stm32 中定時器 timx 可以選擇為 pwm 模式,即在 timx_ccmrx 寄存器中的 ocxm 位寫入110(pwm 模式 1)或111(pwm 模式

55、 2)。pwm 脈沖信號的參數(shù)由定時器相關(guān)的四個寄存器確定,它們分別是計數(shù)器寄存器(timx_cnt),預(yù)分頻器寄存器(timx_psc),自動重裝載寄存器(timx_arr)和捕獲/輸出比較寄存器(timx_ccr)。其中由timx_arr 寄存器確定脈沖頻率,由 timx_ccr 寄存器確定脈沖的占空比。 pwm脈沖信號的配置過程如下:1. 時鐘基準的設(shè)置。stm32 中不管是高級定時器還是通用定時器,它們的時鐘都配置為 72mhz 的系統(tǒng)時鐘,而定時器 16 位的計數(shù)器或預(yù)分頻器寄存器所能表示的脈沖頻率范圍有限。為了擴大定時器輸出脈沖的頻率范圍,需要通過設(shè)置預(yù)分頻器寄存器的值降低輸入時鐘

56、的頻率,即把計數(shù)器寄存器和自動裝載寄存器的時間基準配置為 72mhz/tim_psc 的時鐘基準頻率。2. 脈沖頻率與占空比的設(shè)置。規(guī)定輸出比較寄存器 timx_ccr 的初值不能大于自動重裝載寄存器 timx_arr 的初值,因為 timx_ccr 確定脈沖信號的占空比而timx_arr 確定其周期。以向上計數(shù)模式為例,計數(shù)器寄存器 timx_cnt 從初值 0開始計數(shù),當計數(shù)器寄存器的值等于輸出比較寄存器的值時,pwm 信號被翻轉(zhuǎn);計數(shù)器繼續(xù)累加計數(shù),當計數(shù)器寄存器的值等于自動重裝載寄存器的值時,計數(shù)器寄存器回到初值從 0 重新計數(shù),同時產(chǎn)生一個計數(shù)器溢出中斷并翻轉(zhuǎn)輸出的 pwm 信號,這

57、樣 pwm 信號便發(fā)送了一個周期。所以 pwm 脈沖信號的頻率即為f=72mhz/tim_psc/timx_arr,而其占空比即為 timx_ccr/timx_arr。5.2.3 pwm 脈沖信號的生成stm32 采用集成于上位機的 realview mdk(microcontroller development kit)開發(fā)環(huán)境進行程序開發(fā)。mdk 是 arm 公司目前最新推出的針對各種嵌入式處理器的軟件開發(fā)工具,包括 uvision3 集成開發(fā)環(huán)境與 realview 編譯器,支持 arm9 和最新的 cortex-m3 核處理器,配備 ulink2 仿真器+ flash 編程模塊輕松實現(xiàn) flash 燒寫,具備強大的 simulation 設(shè)備模擬和性能分析等功能,在無硬件平臺上也能進行代碼開發(fā)。realview mdk 開發(fā)工具可以自動生成完善的啟動代碼,并提供圖形化的窗口,同時可以反映出一些在實際電路測試中示波器難得捕捉到的毛

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論