畢業(yè)論文:基于AT89C51單片機(jī)的數(shù)字式低頻信號發(fā)生器設(shè)計_第1頁
畢業(yè)論文:基于AT89C51單片機(jī)的數(shù)字式低頻信號發(fā)生器設(shè)計_第2頁
畢業(yè)論文:基于AT89C51單片機(jī)的數(shù)字式低頻信號發(fā)生器設(shè)計_第3頁
畢業(yè)論文:基于AT89C51單片機(jī)的數(shù)字式低頻信號發(fā)生器設(shè)計_第4頁
畢業(yè)論文:基于AT89C51單片機(jī)的數(shù)字式低頻信號發(fā)生器設(shè)計_第5頁
已閱讀5頁,還剩39頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、selectionparagraphformatlinespacinglinestopointsselectionparagraphformatlinespacinglinestopointselectionparagraaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaphformatlinespacinglinestopointsselectionparagraphformatlinespacinglinestselectionparbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb

2、agraphfolinespacinglinestopointsselectionparagraphformatlinespacinglinestopointse11111111111111111111111111111111lectionparagraphformatlinespacinglinestopointsselectionparagraphformatlinespacinglinestopoctionparagraphformatlinespaci2222222222222222222222nglinestopoints2selectionparagraphformatlinesp

3、acinglinestopointsselectionparagraphformatlinespacinglinestopointselectionparagraphfccccccccccccccccccccccccccccccccccccccccccccccccccccccccormatlinespacinglinestopointsselectionparagraphformatlinespacinglinestopoctionparagraselec摘要iiabstractii目錄iv前言11 波形發(fā)生器概述21.1波形發(fā)生器的發(fā)展?fàn)顩r21.2國內(nèi)外波形發(fā)生器產(chǎn)品比較52 方案論證與比較

4、62.1 方案一62.2 方案二72.3 方案三83 硬件原理113.1 mcs-51單片機(jī)的內(nèi)部結(jié)構(gòu)123.1.1 內(nèi)部結(jié)構(gòu)概述123.1.2 cpu結(jié)構(gòu)133.1.3 存儲器和特殊功能寄存器143.2 p0-p3口結(jié)構(gòu)153.3 時鐘電路和復(fù)位電路173.3.1時鐘電路173.3.2單片機(jī)的復(fù)位狀態(tài)183.4 dac0832的引腳及功能194 軟件原理224.1 主流程圖224.2 鋸齒波仿真圖254.3 三角波仿真圖274.4 方波仿真圖304.5 正弦波仿真圖31總結(jié)34致謝36參考文獻(xiàn)37摘要本系統(tǒng)是基于at89c51單片機(jī)的數(shù)字式低頻信號發(fā)生器。采用at89c51單片機(jī)作為控制核心

5、,外圍采用數(shù)字/模擬轉(zhuǎn)換電路(dac0832)、運放電路(lm324)、按鍵和8位數(shù)碼管等。通過按鍵控制可產(chǎn)生方波、三角波、正弦波等,同時用數(shù)碼管指示其對應(yīng)的頻率。其設(shè)計簡單、性能優(yōu)好,可用于多種需要低頻信號的場所,具有一定的實用性。各種各樣的信號是通信領(lǐng)域的重要組成部分,其中正弦波、三角波和方波等是較為常見的信號。在科學(xué)研究及教學(xué)實驗中常常需要這幾種信號的發(fā)生裝置。為了實驗、研究方便,研制一種靈活適用、功能齊全、使用方便的信號源是十分必要的。本文介紹的是利用at89c51單片機(jī)和數(shù)模轉(zhuǎn)換器件dac0832產(chǎn)生所需不同信號的低頻信號源,其信號幅度和頻率都是可以按要求控制的。文中簡要介紹了dac

6、0832數(shù)模轉(zhuǎn)換器的結(jié)構(gòu)原理和使用方法,at89c51的基礎(chǔ)理論,以及與設(shè)計電路有關(guān)的各種芯片。文中著重介紹了如何利用單片機(jī)控制d/a轉(zhuǎn)換器產(chǎn)生上述信號的硬件電路和軟件編程。信號頻率幅度也按要求可調(diào)。本次關(guān)于產(chǎn)生不同低頻信號的信號源的設(shè)計方案,不僅在理論和實踐上都能滿足實驗的要求,而且具有很強(qiáng)的可行性。該信號源的特點是:體積小、價格低廉、性能穩(wěn)定、實現(xiàn)方便、功能齊全。關(guān)鍵詞:at89c51 dac0832 lm324 8位數(shù)碼管顯示selectionparagraphformatlinespacinglinestopointsselectionparagraphformatlinespacin

7、glinestopointselectionparagraaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaphformatlinespacinglinestopointsselectionparagraphformatlinespacinglinestselectionparbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbagraphfolinespacinglinestopointsselectionparagraphformatlinespacinglinestopointse1

8、1111111111111111111111111111111lectionparagraphformatlinespacinglinestopointsselectionparagraphformatlinespacinglinestopoctionparagraphformatlinespaci2222222222222222222222nglinestopoints2selectionparagraphformatlinespacinglinestopointsselectionparagraphformatlinespacinglinestopointselectionparagrap

9、hfccccccccccccccccccccccccccccccccccccccccccccccccccccccccormatlinespacinglinestopointsselectionparagraphformatlinespacinglinestopoctionparagraselecabstractwaveform the system is a digital signal generator based on single chip computer.at89c51 is used as a control microcontroller core.the system is

10、composed by digital/analog comversion(dac0832), imply circuit,button and nixie tube.it can generate the square, triangle and sine wave,with nixie tube.the system can be used for a signal soure in the low-frequency signal soure.it is very practical.various signals are an important part of corresponde

11、nt area. in this area, sine wave, triangle wave and square wave are common signals. in science research and teaching experiment, we often need the occurrence equipment of these signals. in order to make the experiment and research easier, to develop a suitable, full functional and easily used signal

12、s source is essential.this paper introduces the low frequency sources of different signals that are produced by at89c51 scm and dac0832. its signal range and frequency can be controlled by requirement. this paper briefly introduces the structure principle and usage of dac0832, the basic theory of at

13、89c51 and various chips which relevant to design circuit. this paper emphasized how to use scm to control the hardware circuit and software program of the signals above which produced by dac0832. the signal frequency range also can be adjusted by requirement.this signal source design plan concerns o

14、n producing different low frequency signals, not only meet the request of experiment in theory and in practice, but also have strong feasibility. the trait of this signal source is: small volume, low price, stable function, easily achievable, and full function.keywords:at89c51, da0832, lm324, 8 nixi

15、e tube display目錄摘要iabstractii目錄iii前言11 波形發(fā)生器概述21.1波形發(fā)生器的發(fā)展?fàn)顩r21.2國內(nèi)外波形發(fā)生器產(chǎn)品比較42 方案論證與比較52.1 方案一52.2 方案二62.3 方案三63 硬件原理83.1 mcs-51單片機(jī)的內(nèi)部結(jié)構(gòu)83.1.1 內(nèi)部結(jié)構(gòu)概述83.1.2 cpu結(jié)構(gòu)93.1.3 存儲器和特殊功能寄存器103.2 p0-p3口結(jié)構(gòu)113.3 時鐘電路和復(fù)位電路113.3.1時鐘電路113.3.2單片機(jī)的復(fù)位狀態(tài)123.4 dac0832的引腳及功能134 軟件原理154.1 主流程圖154.2 鋸齒波仿真圖164.3 三角波仿真圖174.4

16、 方波仿真圖194.5 正弦波仿真圖20總結(jié)22致謝23參考文獻(xiàn)24前言 波形發(fā)生器也稱函數(shù)發(fā)生器,作為實驗信號源,是現(xiàn)今各種電子電路實驗設(shè)計應(yīng)用中必不可少的儀器設(shè)備之一。目前,市場上常見的波形發(fā)生器多為純硬件的搭接而成,且波形種類有限,多為鋸齒波,正弦波,方波,三角波等波形。信號發(fā)生器作為一種常見的應(yīng)用電子儀器設(shè)備,傳統(tǒng)的可以完全由硬件電路搭接而成,如采用555振蕩電路發(fā)生正弦波、三角波和方波的電路便是可取的路經(jīng)之一,不用依靠單片機(jī)。但是這種電路存在波形質(zhì)量差,控制難,可調(diào)范圍小,電路復(fù)雜和體積大等缺點。在科學(xué)研究和生產(chǎn)實踐中,如工業(yè)過程控制,生物醫(yī)學(xué),地震模擬機(jī)械振動等領(lǐng)域常常要用到低頻信

17、號源。而由硬件電路構(gòu)成的低頻信號其性能難以令人滿意,而且由于低頻信號源所需的rc很大;大電阻,大電容在制作上有困難,參數(shù)的精度亦難以保證;體積大,漏電,損耗顯著更是致命的弱點。一旦工作需求功能有增加,則電路復(fù)雜程度會大大增加。1 波形發(fā)生器概述在電子工程、通信工程、自動控制、遙測控制、測量儀器、儀表和計算機(jī)等技術(shù)領(lǐng)域,經(jīng)常需要用到各種各樣的信號波形發(fā)生器。隨著集成電路的迅速發(fā)展,用集成電路可很方便地構(gòu)成各種信號波形發(fā)生器。用集成電路實現(xiàn)的信號波形發(fā)生器與其它信號波形發(fā)生器相比,其波形質(zhì)量、幅度和頻率穩(wěn)定性等性能指標(biāo),都有了很大的提高。1.1波形發(fā)生器的發(fā)展?fàn)顩r 波形發(fā)生器是能夠產(chǎn)生大量的標(biāo)準(zhǔn)信

18、號和用戶定義信號,并保證高精度、高穩(wěn)定性、可重復(fù)性和易操作性的電子儀器。函數(shù)波形發(fā)生器具有連續(xù)的相位變換、和頻率穩(wěn)定性等優(yōu)點,不僅可以模擬各種復(fù)雜信號,還可對頻率、幅值、相移、波形進(jìn)行動態(tài)、及時的控制,并能夠與其它儀器進(jìn)行通訊,組成自動測試系統(tǒng),因此被廣泛用于自動控制系統(tǒng)、震動激勵、通訊和儀器儀表領(lǐng)域。在 70 年代前,信號發(fā)生器主要有兩類:正弦波和脈沖波,而函數(shù)發(fā)生器介于兩類之間,能夠提供正弦波、余弦波、方波、三角波、上弦波等幾種常用標(biāo)準(zhǔn)波形,產(chǎn)生其它波形時,需要采用較復(fù)雜的電路和機(jī)電結(jié)合的方法。這個時期的波形發(fā)生器多采用模擬電子技術(shù),而且模擬器件構(gòu)成的電路存在著尺寸大、價格貴、功耗大等缺點

19、,并且要產(chǎn)生較為復(fù)雜的信號波形,則電路結(jié)構(gòu)非常復(fù)雜。同時,主要表現(xiàn)為兩個突出問題,一是通過電位器的調(diào)節(jié)來實現(xiàn)輸出頻率的調(diào)節(jié),因此很難將頻率調(diào)到某一固定值;二是脈沖的占空比不可調(diào)節(jié)。在 70 年代后,微處理器的出現(xiàn),可以利用處理器、a/d/和 d/a,硬件和軟件使波形發(fā)生器的功能擴(kuò)大,產(chǎn)生更加復(fù)雜的波形。這時期的波形發(fā)生器多以軟件為主,實質(zhì)是采用微處理器對 dac的程序控制,就可以得到各種簡單的波形。 90 年代末,出現(xiàn)幾種真正高性能、高價格的函數(shù)發(fā)生器、但是hp公司推出了型號為 hp770s的信號模擬裝置系統(tǒng),它由 hp8770a任意波形數(shù)字化和 hp1776a波形發(fā)生軟件組成。hp8770a

20、實際上也只能產(chǎn)生8 中波形,而且價格昂貴。不久以后,analogic公司推出了型號為 data-2020的多波形合成器,lecroy 公司生產(chǎn)的型號為9100 的任意波形發(fā)生器等。 到了二十一世紀(jì),隨著集成電路技術(shù)的高速發(fā)展,出現(xiàn)了多種工作頻率可過 ghz 的dds 芯片,同時也推動了函數(shù)波形發(fā)生器的發(fā)展,2003 年,agilent的產(chǎn)品 33220a能夠產(chǎn)生 17 種波形,最高頻率可達(dá)到 20m,2005 年的產(chǎn)品n6030a 能夠產(chǎn)生高達(dá) 500mhz 的頻率,采樣的頻率可達(dá) 1.25ghz。由上面的產(chǎn)品可以看出,函數(shù)波形發(fā)生器發(fā)展很快近幾年來,國際上波形發(fā)生器技術(shù)發(fā)展主要體現(xiàn)在以下幾個

21、方面:(1)過去由于頻率很低應(yīng)用的范圍比較狹小,輸出波形頻率的提高,使得波形發(fā)生器能應(yīng)用于越來越廣的領(lǐng)域。波形發(fā)生器軟件的開發(fā)正使波形數(shù)據(jù)的輸入變得更加方便和容易。波形發(fā)生器通常允許用一系列的點、直線和固定的函數(shù)段把波形數(shù)據(jù)存入存儲器。同時可以利用一種強(qiáng)有力的數(shù)學(xué)方程輸入方式,復(fù)雜的波形可以由幾個比較簡單的公式復(fù)合成 v=f (t)形式的波形方程的數(shù)學(xué)表達(dá)式產(chǎn)生。從而促進(jìn)了函數(shù)波形發(fā)生器向任意波形發(fā)生器的發(fā)展,各種計算機(jī)語言的飛速發(fā)展也對任意波形發(fā)生器軟件技術(shù)起到了推動作用。目前可以利用可視化編程語言(如visual basic ,visual c 等等)編寫任意波形發(fā)生器的軟面板,這樣允許從

22、計算機(jī)顯示屏上輸入任意波形,來實現(xiàn)波形的輸入。 (2)與vxi資源結(jié)合。目前,波形發(fā)生器由獨立的臺式儀器和適用于個人計算機(jī)的插卡以及新近開發(fā)的vxi模塊。由于vxi總線的逐漸成熟和對測量儀器的高要求,在很多領(lǐng)域需要使用vxi系統(tǒng)測量產(chǎn)生復(fù)雜的波形,vxi的系統(tǒng)資源提供了明顯的優(yōu)越性,但由于開發(fā)vxi模塊的周期長,而且需要專門的vxi機(jī)箱的配套使用,使得波形發(fā)生器vxi模塊僅限于航空、軍事及國防等大型領(lǐng)域。在民用方面,vxi模塊遠(yuǎn)遠(yuǎn)不如臺式儀器更為方便。 (3)隨著信息技術(shù)蓬勃發(fā)展,臺式儀器在走了一段下坡路之后,又重新繁榮起來。不過現(xiàn)在新的臺式儀器的形態(tài),和幾年前的己有很大的不同。這些新一代臺式

23、儀器具有多種特性,可以執(zhí)行多種功能。而且外形尺寸與價格,都比過去的類似產(chǎn)品減少了一半。1.2國內(nèi)外波形發(fā)生器產(chǎn)品比較 早在 1978 年,由美國 wavetek 公司和日本東亞電波工業(yè)公司公布了最高取樣頻率為 5mhz ,可以形成 256 點(存儲長度)波形數(shù)據(jù),垂直分辨率為8bit,主要用于振動、醫(yī)療、材料等領(lǐng)域的第一代高性能信號源,經(jīng)過將近30年的發(fā)展,伴隨著電子元器件、電路、及生產(chǎn)設(shè)備的高速化、高集成化,波形發(fā)生器的性能有了飛速的提高。變得操作越來越簡單而輸出波形的能力越來越強(qiáng)。波形操作方法的好壞,是由波形發(fā)生器控制軟件質(zhì)量保證的,編輯功能增加的越多,波形形成的操作性越好。 2 方案論證

24、與比較依據(jù)應(yīng)用場合需要實現(xiàn)的波形種類,波形發(fā)生器的具體指標(biāo)要求會有所不同。依據(jù)不同的設(shè)計要求選取不同的設(shè)計方案。通常,波形發(fā)生器需要實現(xiàn)的波形有正弦波、方波、三角波和鋸齒波。有些場合可能還需要任意波形的產(chǎn)生。各種波形共有的指標(biāo)有:波形的頻率、幅度要求,頻率穩(wěn)定度,準(zhǔn)確度等。對于不同波形,具體的指標(biāo)要求也會有所差異,例如,占空比是脈沖波形特有的指標(biāo)。波形發(fā)生器的設(shè)計方案多種多樣,大致可以分為三大類:純硬件設(shè)計法、純軟件設(shè)計法和軟硬件結(jié)合設(shè)計法。2.1 方案一波形發(fā)生器設(shè)計的純硬件法早期,波形發(fā)生器的設(shè)計主要是采用運算放大器加分立元件來實現(xiàn)。實現(xiàn)的波形比較單一,主要為正弦波、方波和三角波。工作原理

25、嗍也相對簡單:首先是產(chǎn)生正弦波,然后通過波形變換(正弦波通過比較器產(chǎn)生方波,方波經(jīng)過積分器變?yōu)槿遣?實現(xiàn)方波和三角波。在各種波形后加上一級放大電路,可以使輸出波形的幅度達(dá)到要求,通過開關(guān)電路實現(xiàn)不同輸出波形的切換,改變電路的具體參數(shù)可以實現(xiàn)頻率、幅度和占空比的改變。通過對電路結(jié)構(gòu)的優(yōu)化及所用元器件的嚴(yán)格選取可以提高電路的頻率穩(wěn)定性和準(zhǔn)確度。純硬件法中,正弦波的設(shè)計是基礎(chǔ),實現(xiàn)方法也比較多,電路形式一般有l(wèi)c、rc和石英晶體振蕩器三類。lc振蕩器適宜于產(chǎn)生幾hz至幾百mhz的高頻信號;石英晶體振蕩器能產(chǎn)生幾百khz至幾十mhz的高頻信號且穩(wěn)定度高;對于頻率低于幾mhz,特別是在幾百hz時,常采

26、用rc振蕩電路。rc振蕩電路又分為文氏橋振蕩電路、雙t網(wǎng)絡(luò)式和移相式振蕩電路等類型。其中,以文氏橋振蕩電路最為常用。目前,實現(xiàn)波形發(fā)生器最簡單的方法是采用單片集成的函數(shù)信號發(fā)生器。它是將產(chǎn)生各種波形的功能電路集成優(yōu)化到一個集成電路芯片里,外加少量的電阻、電容元件來實現(xiàn)。采用這種方法的突出優(yōu)勢是電路簡單,實現(xiàn)方便,精度高,性能優(yōu)越;缺點是功能較全的集成芯片價格較貴。實際中應(yīng)用較多的單片函數(shù)信號發(fā)生器有max038(最高頻率可達(dá)40mhz)和icl8038(最高頻率為300khz)。2.2 方案二波形發(fā)生器設(shè)計的純軟件法 波形發(fā)生器的設(shè)計還可以采用純軟件的方法來實現(xiàn)。虛擬儀器鞠使傳統(tǒng)儀器發(fā)生了革命

27、性的變化,是21世紀(jì)測試儀器領(lǐng)域技術(shù)發(fā)展的重要方向。它以計算機(jī)為基礎(chǔ),軟件為核心,沒有傳統(tǒng)儀器那樣具體的物理結(jié)構(gòu)在計算機(jī)上實現(xiàn)儀器的虛擬面板,通過軟件設(shè)計實現(xiàn)和改變儀器的功能。例如用圖形化編程工具labview來實現(xiàn)任意波形發(fā)生器的功能:在labview軟件的前面板通過拖放控件,設(shè)計儀器的功能面板(如波形顯示窗口,波形選擇按鍵,波形存儲回放等工作界面),在軟件的后面板直接拖放相應(yīng)的波形函數(shù)并進(jìn)行參數(shù)設(shè)置或直接調(diào)用編程函數(shù)來設(shè)計任意波形以實現(xiàn)波形產(chǎn)生功能;完成的軟件打包后,可脫離編程環(huán)境獨立運行。實現(xiàn)任意波形發(fā)生器的功能。采用純軟件的虛擬儀器設(shè)計思路可以使設(shè)計簡單、高效,僅改變軟件程序就可以輕松

28、實現(xiàn)波形功能的改變或升級。從長遠(yuǎn)角度來看,純軟件法成本較低。軟件法的缺點是波形的響應(yīng)速度和精度遜色于硬件法。2.3 方案三1.軟硬件結(jié)合法軟硬件結(jié)合的波形發(fā)生器設(shè)計方法同時兼具軟硬件設(shè)計的優(yōu)勢:既具有純硬件設(shè)計的快速、高性能,同時又具有軟件控制的靈活性、智能性。如以單片機(jī)和單片集成函數(shù)發(fā)生器為核心(如圖2.2)。輔以鍵盤控制、液晶顯示等電路,設(shè)計出智能型函數(shù)波形發(fā)生器,采用軟硬件結(jié)合的方法可以實現(xiàn)功能較全、性能更優(yōu)的波形發(fā)生器,同時還可以擴(kuò)展波形發(fā)生器的功能,比如通過軟件編程控制實現(xiàn)波形的存儲、運算、打印等功能,采用usb接口設(shè)計。使波形發(fā)生器具有遠(yuǎn)程通信功能等。目前,實驗、科研和工業(yè)生產(chǎn)中使

29、用的信號源大多采用此方法來實現(xiàn)。at89c51單片機(jī)dac0832復(fù)位鍵鍵盤數(shù)碼管顯示圖 2.2 軟硬件結(jié)合的波形發(fā)生器2.純硬件設(shè)計法功能較單一,波形改變困難、控制的靈活性不夠,不具備智能性,其中由運算放大器加分立元件組成的波形發(fā)生器,除在學(xué)生實驗訓(xùn)練中使用外。基本不被采用。純軟件設(shè)計法實現(xiàn)簡單,程序改變及功能升級靈活,但實現(xiàn)的波形精度及響應(yīng)速度不如硬件法高。純軟件法主要適用于對波形精度、響應(yīng)速度要求不是很高的場合。相比之下,軟硬件結(jié)合的方法可以設(shè)計出性能最優(yōu)、功能擴(kuò)展靈活、控制智能化的新一代的波形發(fā)生器,可以滿足教學(xué)、科研、工業(yè)生產(chǎn)等各方面對波形發(fā)生器性能有較高要求的應(yīng)用場合。綜合以上幾種

30、設(shè)計方案,本設(shè)計采用方案三的方法軟硬件設(shè)計法。其方案能夠產(chǎn)生很好的波形,也易實現(xiàn)。3 硬件原理波形的產(chǎn)生是通過at89s52單片機(jī)執(zhí)行某一波形發(fā)生程序,向d/a轉(zhuǎn)換器的輸入端按一定的規(guī)律發(fā)生數(shù)據(jù),從而在d/a轉(zhuǎn)換電路的輸出端得到相應(yīng)的電壓波形。at89s52單片機(jī)的最小系統(tǒng)有三種聯(lián)接方式。一種是兩級緩沖器型,即輸入數(shù)據(jù)經(jīng)過兩級緩沖器型,即輸入數(shù)據(jù)經(jīng)過兩級緩沖器后,送d/a轉(zhuǎn)換電路。第二種是單級緩沖器型,輸入數(shù)據(jù)經(jīng)輸入寄存器直接送入dac寄存器,然后送d/a轉(zhuǎn)換電路。第三種是兩個緩沖器直通,輸入數(shù)據(jù)直接送d/a轉(zhuǎn)換電路進(jìn)行轉(zhuǎn)換。本電路仿真的總圖如下:圖3.1 系統(tǒng)電路圖3.1 mcs-51單片機(jī)

31、的內(nèi)部結(jié)構(gòu)3.1.1 內(nèi)部結(jié)構(gòu)概述典型的mcs-51單片機(jī)芯片集成了以下幾個基本組成部分。1 一個8位的cpu2 128b或256b單元內(nèi)數(shù)據(jù)存儲器(ram)3 4kb或8kb片內(nèi)程序存儲器(rom或eprom)4 4個8位并行i/o接口p0p3。5 兩個定時/計數(shù)器。6 5個中斷源的中斷管理控制系統(tǒng)。7 一個全雙工串行i/o口uart(通用異步接收、發(fā)送器) 8 一個片內(nèi)振蕩器和時鐘產(chǎn)生電路。圖3.2 單片機(jī)引腳3.1.2 cpu結(jié)構(gòu)cpu 是單片機(jī)的核心部件。它由運算器和控制器等部件組成。1.運算器 運算器以完成二進(jìn)制的算術(shù)/邏輯運算部件alu為核心。它可以對半字節(jié)(4)、單字節(jié)等數(shù)據(jù)進(jìn)行

32、操作。例如,能完成加、減、乘、除、加1、減1、bcd碼十進(jìn)制調(diào)整、比較等算術(shù)運算,完成與、或、異或、求反、循環(huán)等邏操作,操作結(jié)果的狀態(tài)信息送至狀態(tài)寄存器。運算器還包含有一個布爾處理器,用以處理位操作。它以進(jìn)位標(biāo)志位c為累加器,可執(zhí)行置位、復(fù)位、取反、位判斷轉(zhuǎn)移,可在進(jìn)位標(biāo)志位與其他可位尋址的位之間進(jìn)行位數(shù)據(jù)傳誦等操作,還可以完成進(jìn)位標(biāo)志位與其他可位尋址的位之間進(jìn)行邏輯與、或操作。2.程序計數(shù)器pcpc是一個16位的計數(shù)器,用于存放一條要執(zhí)行的指令地址,尋址范圍為64kb,pc有自動加1功能,即完成了一條指令的執(zhí)行后,其內(nèi)容自動加1。3.指令寄存器 指令寄存器用于存放指令代碼。cpu執(zhí)行指令時,

33、由程序存儲器中讀取的指令代碼送如指令寄存器,經(jīng)指令譯碼器譯碼后由定時有控制電路發(fā)出相應(yīng)的控制信號,完成指令功能。3.1.3 存儲器和特殊功能寄存器1.存儲器(memory)是計算機(jī)系統(tǒng)中的記憶設(shè)備,用來存放程序和數(shù)據(jù)。計算機(jī)中的全部信息,包括輸入的原始數(shù)據(jù)、計算機(jī)程序、中間運行結(jié)果和最終運行結(jié)果都保存在存儲器中。它根據(jù)控制器指定的位置存入和取出信息。2.特殊功能寄存器特殊功能寄存器(sfr)的地址范圍為80hffh。在mcs51中,除程序計數(shù)器pc和四個工作寄存器區(qū)外,其余21個特殊功能寄存器都在這sfr塊中。其中5個是雙字節(jié)寄存器,它們共占用了26個字節(jié)。各特殊功能寄存器的符號和地址見附表2

34、。其中帶號的可位尋址。特殊功能寄存器反映了8051的狀態(tài),實際上是8051的狀態(tài)字及控制字寄存器。用于cpu psw便是典型一例。這些特殊功能寄存器大體上分為兩類,一類與芯片的引腳有關(guān),另一類作片內(nèi)功能的控制用。與芯片引腳有關(guān)的特殊功能寄存器是p0p3,它們實際上是4個八位鎖存器(每個i/o口一個),每個鎖存器附加有相應(yīng)的輸出驅(qū)動器和輸入緩沖器就構(gòu)成了一個并行口。mcs51共有p0p3四個這樣的并行口,可提供32根i/o線,每根線都是雙向的,并且大都有第二功能。其余用于芯片控制的寄存器中,累加器a、標(biāo)志寄存器psw、數(shù)據(jù)指針dptr等的功能前已提及。3.2 p0-p3口結(jié)構(gòu)p0口功能:p0口具

35、有兩種功能:第一,p0口可以作為通用i/o接口使用,p0.7p0.0用于傳送cpu的輸入/輸出數(shù)據(jù)。輸出數(shù)據(jù)時可以得到鎖存,不需外接專用鎖存器,輸入數(shù)據(jù)可以得到緩沖。第二,p0.7p0.0在cpu訪問片外存儲器時用于傳送片外存儲器de低8位地址,然后傳送cpu對片外存儲器的讀寫p1口 功能:p1口的功能和p0口de第一功能相同,僅用于傳遞i/o輸入/輸出數(shù)據(jù)。 p2口的功能:2口的第一功能和上述兩組引腳的第一功能相同,即它可以作為通用i/o使用。它的第二功能和p0口引腳的第二功能相配合,作為地址總線用于輸出片外存儲器的高8位地址。 p3口功能:p3口有兩個功能:第一功能與其余三個端口的第一功能

36、相同;第二功能作控制用,每個引腳都不同。 p3.0rxd串行數(shù)據(jù)接收口 p3.1txd串行數(shù)據(jù)發(fā)送口 p3.2int0外中斷0輸入 p3.3int1外中斷1輸入 p3.4t0計數(shù)器0計數(shù)輸入 p3.5t1計數(shù)器1計數(shù)輸入 p3.6wr外部ram寫選通信號 p3.7rd外部ram讀選通信號 3.3 時鐘電路和復(fù)位電路單片機(jī)的時鐘信號用來提供單片機(jī)內(nèi)各種微操作的時間基準(zhǔn);復(fù)位操作則使單片機(jī)的片內(nèi)電路初始化,使單片機(jī)從一種確定的狀態(tài)開始運行。3.3.1時鐘電路單片機(jī)的時鐘信號通常用兩種電路形式得到:內(nèi)部振蕩和外部振蕩方式。圖3.3 時鐘部分電路圖在引腳xtal1和xtal2外接晶體振蕩器或陶瓷諧振蕩

37、器,構(gòu)成了內(nèi)部振蕩方式。由于單片機(jī)內(nèi)部有一個高增益反相放大器,當(dāng)外接晶振后,就構(gòu)成了自積振蕩,并產(chǎn)生振蕩時鐘脈沖。晶振通常選用6mhz、12mhz、或24mhz。單片機(jī)的時序單位振蕩周期:晶振的振蕩周期,又稱時鐘周期,為最小的時序單位。狀態(tài)周期:振蕩頻率經(jīng)單片機(jī)內(nèi)的二分頻器分頻后提供給片內(nèi)cpu的時鐘周期。因此一個狀態(tài)周期包含2個振蕩周期。機(jī)器周期:1個機(jī)器周期由6個狀態(tài)周期12個振蕩周期組成,是計算機(jī)執(zhí)行一種基本操作的時間單位。指令周期:執(zhí)行一條指令所需的時間。一個指令周期由1-4個機(jī)器周期組成,依據(jù)指令不同而不同.3.3.2單片機(jī)的復(fù)位狀態(tài)當(dāng)mcs-5l系列單片機(jī)的復(fù)位引腳rst(全稱re

38、set)出現(xiàn)2個機(jī)器周期以上的高電平時,根據(jù)應(yīng)用的要求,復(fù)位操作通常有兩種基本形式:上電復(fù)位和上電或開關(guān)復(fù)位。上電復(fù)位要求接通電源后,自動實現(xiàn)復(fù)位操作。上電或開關(guān)復(fù)位要求電源接通后,單片機(jī)自動復(fù)位,并且在單片機(jī)運行期間,用開關(guān)操作也能使單片機(jī)復(fù)位。上電后,由于電容c3的充電和反相門的作用,使rst持續(xù)一段時間的高電平。當(dāng)單片機(jī)已在運行當(dāng)中時,按下復(fù)位鍵k后松開,也能使rst為一段時間的高電平,從而實現(xiàn)上電或開關(guān)復(fù)位的操作。圖3.4 復(fù)位電路單片機(jī)的復(fù)位操作使單片機(jī)進(jìn)入初始化狀態(tài),其中包括使程序計數(shù)器pc0000h,這表明程序從0000h地址單元開始執(zhí)行。單片機(jī)冷啟動后,片內(nèi)ram為隨機(jī)值,運行

39、中的復(fù)位操作不改變片內(nèi)ram區(qū)中的內(nèi)容,21個特殊功能寄存器復(fù)位后的狀態(tài)為確定值統(tǒng)復(fù)位是任何微機(jī)系統(tǒng)執(zhí)行的第一步,使整個控制芯片回到默認(rèn)的硬件狀態(tài)下。51單片機(jī)的復(fù)位是由reset引腳來控制的,此引腳與高電平相接超過24個振蕩周期后,51單片機(jī)即進(jìn)入芯片內(nèi)部復(fù)位狀態(tài),而且一直在此狀態(tài)下等待,直到reset引腳轉(zhuǎn)為低電平后,才檢查ea引腳是高電平或低電平,若為高電平則執(zhí)行芯片內(nèi)部的程序代碼,若為低電平便會執(zhí)行外部程序。51單片機(jī)在系統(tǒng)復(fù)位時,將其內(nèi)部的一些重要寄存器設(shè)置為特定的值,至于內(nèi)部ram內(nèi)部的數(shù)據(jù)則不變。3.4 dac0832的引腳及功能1 dac0832芯片:dac0832是8分辨率的

40、d/a轉(zhuǎn)換集成芯片。與微處理器完全兼容。這個da芯片以其價格低廉、接口簡單、轉(zhuǎn)換控制容易等優(yōu)點,在單片機(jī)應(yīng)用系統(tǒng)中得到廣泛的應(yīng)用。d/a轉(zhuǎn)換器由8位輸入鎖存器、8位dac寄存器、8位d/a轉(zhuǎn)換電路及轉(zhuǎn)換控制電路構(gòu)成。2 dac0832的主要特性參數(shù)如下:分辨率為8位;電流穩(wěn)定時間1us;可單緩沖、雙緩沖或直接數(shù)字輸入;只需在滿量程下調(diào)整其線性度;單一電源供電(+5v+15v);低功耗,200mw。3 dac0832結(jié)構(gòu): d0d7:8位數(shù)據(jù)輸入線,ttl電平,有效時間應(yīng)大于90ns(否則鎖存器的數(shù)據(jù)會出錯);ile:數(shù)據(jù)鎖存允許控制信號輸入線,高電平有效;cs:片選信號輸入線(選通數(shù)據(jù)鎖存器)

41、,低電平有效;wr1:數(shù)據(jù)鎖存器寫選通輸入線,負(fù)脈沖(脈寬應(yīng)大于500ns)有效。由ile、cs、wr1的邏輯組合產(chǎn)生le1,當(dāng)le1為高電平時,數(shù)據(jù)鎖存器狀態(tài)隨輸入數(shù)據(jù)線變換,le1的負(fù)跳變時將輸入數(shù)據(jù)鎖存;xfer:數(shù)據(jù)傳輸控制信號輸入線,低電平有效,負(fù)脈沖(脈寬應(yīng)大于500ns)有效;wr2:dac寄存器選通輸入線,負(fù)脈沖(脈寬應(yīng)大于500ns)有效。由wr1、xfer的邏輯組合產(chǎn)生le2,當(dāng)le2為高電平時,dac寄存器的輸出隨寄存器的輸入而變化,le2的負(fù)跳變時將數(shù)據(jù)鎖存器的內(nèi)容打入dac寄存器并開始d/a轉(zhuǎn)換。iout1:電流輸出端1,其值隨dac寄存器的內(nèi)容線性變化;iout2:

42、電流輸出端2,其值與iout1值之和為一常數(shù);rfb:反饋信號輸入線,改變rfb端外接電阻值可調(diào)整轉(zhuǎn)換滿量程精度;vcc:電源輸入端,vcc的范圍為+5v+15v;vref:基準(zhǔn)電壓輸入線,vref的范圍為-10v+10v;agnd:模擬信號地dgnd:數(shù)字信號地4 dac0832的工作方式:根據(jù)對dac0832的數(shù)據(jù)鎖存器和dac寄存器的不同的控制方式,dac0832有三種工作方式:直通方式、單緩沖方式和雙緩沖方式4 軟件原理系統(tǒng)軟件由主程序和產(chǎn)生波形的子程序組成,軟件設(shè)計主要是產(chǎn)生各種波形的子程序的編程,通過編程可得到各種波形。周期的改變可采用插入延時子程序的方法來實現(xiàn)。主程序和幾種常用波

43、形子程序的流程圖如圖所示。4.1 主流程圖開 始讀取波形選擇開關(guān)狀態(tài)調(diào)波形發(fā)生子程初 始 化波形判別驅(qū)動相應(yīng)的數(shù)碼結(jié) 束波形轉(zhuǎn)換否圖4.1 主程序流程圖信號的產(chǎn)生:利用8位d/a轉(zhuǎn)換器dac0808,可以將8位數(shù)字量轉(zhuǎn)換成模擬量輸出。數(shù)字量輸入的范圍為0255,對應(yīng)的模擬量輸出的范圍在vref-到vref+之間。根據(jù)這一特性,可以利用單片機(jī)的并行口輸出的數(shù)字量,產(chǎn)生常用的波形。例如,要產(chǎn)生幅度為05v的鋸齒波,只要將dac0808的vref-接地,vref+接+5v,單片機(jī)的并行口首先輸出00h,再輸出01h、02h,直到輸出ffh,再輸出00h,依此循環(huán),這樣在圖4.2所示的vout端就可以

44、看到在0到5v之間變化的鋸齒波。4.2 鋸齒波仿真圖圖4.2 鋸齒波仿真鋸齒波產(chǎn)生是通過p0口將00h送入寄存器a中,dac0832輸出a中的內(nèi)容,讀取p2口的狀態(tài),取反后作為延時常數(shù),當(dāng)a中的內(nèi)容不為0ffh時,a中的內(nèi)容加1,當(dāng)a中的內(nèi)容等于ffh返回開始,從而輸出波形。鋸齒波程序void juchi() uint i; i=0; while(1) p0=i; delay(t); i=i+1; p0=i; delay(t); xianshiqi(t); if(i=256) i=0; if(s5=0) t=t+1; if(s6=0) t=t-1; 4.3 三角波仿真圖圖4.3 三角波仿真三角波產(chǎn)生是通過p0口將00h送入寄存器a中,dac0832輸出a中的內(nèi)容,通過a中數(shù)值的加1遞升,同時延時,當(dāng)a中的內(nèi)容為0ff時,a中的內(nèi)容減1遞減,從而循環(huán)產(chǎn)生三角波。三角波程序如下:void sanjiaobo() uint j=0; while(1) p0=j;delay(t);j=j+1;p0=j;delay(t); xianshiqi(t);if(j=2

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論