單片機(jī)課程設(shè)計(jì)汽車轉(zhuǎn)向燈_第1頁(yè)
單片機(jī)課程設(shè)計(jì)汽車轉(zhuǎn)向燈_第2頁(yè)
單片機(jī)課程設(shè)計(jì)汽車轉(zhuǎn)向燈_第3頁(yè)
單片機(jī)課程設(shè)計(jì)汽車轉(zhuǎn)向燈_第4頁(yè)
單片機(jī)課程設(shè)計(jì)汽車轉(zhuǎn)向燈_第5頁(yè)
已閱讀5頁(yè),還剩41頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、目 錄1 緒論 1.1 選題背景 1.2 研究意義1.3 研究方法2 汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)原理2.1 汽車轉(zhuǎn)向燈工作原理 2.2 單片機(jī)系統(tǒng)的工作原理及設(shè)計(jì)3 設(shè)計(jì)方案論證與選擇 3.1 方案論證一 3.2 方案論證二 3.3 方案選擇4 控制系統(tǒng)的硬件設(shè)計(jì)4.1 單片機(jī)控制系統(tǒng)電路圖4.2 單片機(jī)控制系統(tǒng)功能模塊的設(shè)計(jì)4.3 元器件清單5 主要芯片介紹5.1 單片機(jī)的特點(diǎn)5.2 單片機(jī)各引腳介紹5.3 單片機(jī)的功能介紹6 控制系統(tǒng)的軟件設(shè)計(jì)7.1 汽車轉(zhuǎn)向燈控制系統(tǒng)流程圖7.2 軟件和程序設(shè)計(jì)7 電路功能實(shí)現(xiàn)7.1 軟件調(diào)試7.2 單片機(jī)硬件功能實(shí)現(xiàn)7.3 仿真操作說(shuō)明及現(xiàn)象 參考文獻(xiàn).

2、致謝附錄 摘 要隨著單片機(jī)的日益發(fā)展,其應(yīng)用也越來(lái)越廣泛,通過(guò)對(duì)“汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)”設(shè)計(jì),可以對(duì)單片機(jī)的知識(shí)得到鞏固和擴(kuò)張。本設(shè)計(jì)是設(shè)計(jì)一個(gè)單片機(jī)控制系統(tǒng)。在汽車進(jìn)行左轉(zhuǎn)向、右轉(zhuǎn)向、剎車、合緊急開(kāi)關(guān)、??康炔僮鲿r(shí),實(shí)現(xiàn)對(duì)各種信號(hào)指示燈的控制。本設(shè)計(jì)主要是對(duì)單片機(jī)的并行輸入/輸出口電路的應(yīng)用,通過(guò)I/O口控制發(fā)光二極管的亮滅閃爍,加上一些復(fù)位電路按鍵電路驅(qū)動(dòng)電路來(lái)模擬汽車尾燈的功能。汽車在駕駛時(shí)有左轉(zhuǎn)向、右轉(zhuǎn)向、剎車、合緊急開(kāi)關(guān)、??康炔僮鳌T谧筠D(zhuǎn)向或右轉(zhuǎn)向時(shí),通過(guò)轉(zhuǎn)向操作桿應(yīng)使左轉(zhuǎn)開(kāi)關(guān)或右轉(zhuǎn)開(kāi)關(guān)合上,從而使左頭燈、儀表板左轉(zhuǎn)向燈、左尾燈或右頭燈、儀表板右轉(zhuǎn)向燈、右尾燈閃爍;合緊急開(kāi)關(guān)時(shí)

3、要求前面所述的6個(gè)信號(hào)燈全部閃爍;汽車剎車時(shí),兩個(gè)尾燈點(diǎn)亮;如正當(dāng)轉(zhuǎn)向時(shí)剎車,則轉(zhuǎn)向時(shí)原應(yīng)閃爍的信號(hào)燈仍應(yīng)閃爍。以上閃爍,都是頻率為1Hz的低頻閃爍;在汽車停靠而??块_(kāi)關(guān)合上時(shí),左頭燈、右頭燈、左尾燈、右尾燈按頻率為30Hz的高頻閃爍。通過(guò)做實(shí)物,編寫(xiě)程序,完成了設(shè)計(jì)的要求。通過(guò)該設(shè)計(jì),對(duì)單片機(jī)的認(rèn)識(shí)有了更進(jìn)一步的了解,對(duì)單片機(jī)的各個(gè)口的功能作用了解加深,對(duì)設(shè)計(jì)系統(tǒng)有了了解,掌握了一些設(shè)計(jì)方法。關(guān)鍵詞 單片機(jī);汽車信號(hào)轉(zhuǎn)向燈;電路基礎(chǔ);數(shù)字電子ABSTRACTWith more monolithic integrated circuits, the more extensive, 1 緒論1.

4、1 選題背景電子技術(shù)的發(fā)展經(jīng)歷了很長(zhǎng)一段路程.而現(xiàn)在我們使用的微型電子技算機(jī)是超大規(guī)模集成電路所構(gòu)成,它屬于第四代計(jì)算機(jī),而單片機(jī)則是微型計(jì)算機(jī)的一部分。從1971年微型計(jì)算機(jī)問(wèn)世以來(lái),由于實(shí)際應(yīng)用的需要,微型計(jì)算機(jī)向著兩個(gè)不同的方向發(fā)展:一個(gè)是向高速度、大容量、高性能的高檔微機(jī)方向發(fā)展;另一個(gè)則是向穩(wěn)定可靠、體積小和價(jià)格廉的單片機(jī)方向發(fā)展。由于科學(xué)技術(shù)的發(fā)展,由模擬電路或數(shù)字電路實(shí)現(xiàn)的大部分控制功能,現(xiàn)在能夠使用單片機(jī)通過(guò)軟件編程方法實(shí)現(xiàn)了。單片機(jī)的應(yīng)用改變著控制系統(tǒng)設(shè)計(jì)方法。軟件取代硬件可以提高系統(tǒng)性能的控制 “軟化”技術(shù)微控制技術(shù),是一個(gè)全新的概念。在生活的環(huán)境中,自動(dòng)控制要求中都會(huì)有單

5、片機(jī)的控制的一部分;從簡(jiǎn)單到復(fù)雜,凡是能想像到的地方幾乎都有使用單片機(jī)的需求。單片機(jī)的應(yīng)用有利于產(chǎn)品的小型化、多功能化和智能化,能夠提高勞動(dòng)效率、減輕勞動(dòng)強(qiáng)度,提升產(chǎn)品質(zhì)量,改善勞動(dòng)環(huán)境。例如,在工業(yè)自動(dòng)化方面:自動(dòng)化能使工業(yè)系統(tǒng)處于最佳狀態(tài)、提高經(jīng)濟(jì)效益和改善產(chǎn)品質(zhì)量。自動(dòng)化控制原理有應(yīng)用于電子、電力、石油、化工、紡織、食品等輕重工業(yè)領(lǐng)域中,無(wú)論數(shù)據(jù)采集和測(cè)控技術(shù),還是生產(chǎn)線上的機(jī)器人技術(shù),都有單片機(jī)的參與。有時(shí),在儀器儀表、信息和通信等產(chǎn)品方面,它在其中發(fā)揮著重大作用?,F(xiàn)在,雖然單片機(jī)的應(yīng)用很普遍了,但仍有許多項(xiàng)目尚未實(shí)現(xiàn),所以單片機(jī)的應(yīng)用有很大的發(fā)展空間。1.2 研究意義單片機(jī)在電子科技

6、中發(fā)展前景很好,成為電子發(fā)展重要組成部分,學(xué)習(xí)單片機(jī)時(shí)要理論與實(shí)踐同步進(jìn)行,以理論指導(dǎo)實(shí)踐,實(shí)踐驗(yàn)證理論,才更有效率。理論部分我們花了大量的時(shí)間,只有少量的時(shí)間進(jìn)行制做實(shí)物,編程方面,調(diào)試在軟件上進(jìn)行的,軟件上能編譯成功的程序,下載硬件上可能不會(huì)成功的。畢業(yè)設(shè)計(jì)過(guò)程中,我們?cè)诰W(wǎng)站上大量收集與課題相關(guān)的資料,了解目前與課題相關(guān)的科技發(fā)展趨勢(shì),確定自己的研究方案。還要自己動(dòng)手制作實(shí)物、編寫(xiě)程序并對(duì)實(shí)物下載程序進(jìn)行硬件的調(diào)試,達(dá)到預(yù)期所需的控制要求和目的,使理論和實(shí)踐完滿的統(tǒng)一。因此還鍛煉了我們的制作能力,提升了綜合素質(zhì)。1.3 研究方法本次單片機(jī)的控制系統(tǒng)以AT89C51為控制器;鍵盤(pán)為輸入信號(hào),

7、由于AT89C51本身的功能強(qiáng)大,汽車轉(zhuǎn)向燈的驅(qū)動(dòng)用單片機(jī)的驅(qū)動(dòng)功能來(lái)完成。使得單片機(jī)的功能得到了充分的運(yùn)用;并且顯示電路從并行I/O口輸出,由限流電阻和發(fā)光二極管組成,低電平使發(fā)光二極管導(dǎo)通,顯示出相應(yīng)的轉(zhuǎn)向信號(hào);為提升了系統(tǒng)的可靠性,設(shè)計(jì)看門狗電路,防止PC受到干擾而失控,引起程序跑飛,可能會(huì)造成的程序死循環(huán)。掉電保護(hù)電路防止在單片機(jī)工作時(shí)突然掉電而失去方向指示功能。進(jìn)行仿真后,能清晰的看到在控制輸入信號(hào)的狀態(tài)下,相應(yīng)的信號(hào)燈發(fā)出轉(zhuǎn)向的指示信號(hào)。本次設(shè)計(jì)對(duì)汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)地分析與設(shè)計(jì),對(duì)單片機(jī)控制系統(tǒng)進(jìn)行了仿真調(diào)試,達(dá)到了畢業(yè)設(shè)計(jì)預(yù)期目的。第一章 主要芯片及元器件介紹單片機(jī)8051

8、介紹本設(shè)計(jì)設(shè)計(jì)汽車燈控制系統(tǒng)比較簡(jiǎn)單,單片機(jī)執(zhí)行的功能不多,在確保實(shí)驗(yàn)功能的情況下,為了降低成本,因此選用性價(jià)比高的80C1單片機(jī)。 MCS-51是Intel公司生產(chǎn)的一個(gè)單片機(jī)系列名稱。屬于這一系列的單片機(jī)有多種。8051便是其中一種性價(jià)比高的單片機(jī)。8051單片機(jī)內(nèi)部結(jié)構(gòu)按其功能部件劃分可以看出由8大部分組成的。這8大部分是: 1、一個(gè)8位中央處理機(jī)CPU。 2、128個(gè)字節(jié)的片內(nèi)數(shù)據(jù)存儲(chǔ)器RAM。3、4KB的片內(nèi)程序只讀存儲(chǔ)器ROM或EPROM。4、18個(gè)特殊功能寄存器SFR。 5、4個(gè)8位并行輸入輸出I/O接口: P0口、 P1口、 P2口、 P3口(共32線), 用于并行輸入或輸出數(shù)

9、據(jù)。 6、1個(gè)串行I/O接口。 7、2個(gè)16位定時(shí)器/計(jì)數(shù)器。 8、1個(gè)具有5個(gè)中斷源, 可編程為2個(gè)優(yōu)先級(jí)的中斷系統(tǒng)。 它可以接收外部中斷申請(qǐng), 定時(shí)器/計(jì)數(shù)器中斷申請(qǐng)和串行口中斷申請(qǐng)。本設(shè)計(jì)選用的8051單片機(jī)芯片為40個(gè)引腳,它是HMOS工藝制造的芯片,采用雙列直插(DIP)方式封裝。1、主電源引腳Vcc和GND VCC(40腳):接+5V電源正端; GND(20腳):接+5V電源地端。 2、外接晶體引腳XTAL1和XTAL2XTAL1(19腳):接外部石英晶體的一端。在單片機(jī)內(nèi)部, 它是一個(gè)反相放大器的輸入端,這個(gè)放大器構(gòu)成了片內(nèi)振蕩器。當(dāng)采用外部時(shí)鐘時(shí),對(duì)于HMOS單片機(jī),該引腳接地

10、;XTAL2(18腳):接外部晶體的另一端。在單片機(jī)內(nèi)部,接至片內(nèi)振蕩器的反相放大器的輸出端。當(dāng)采用外部時(shí)鐘時(shí),對(duì)于HMOS單片機(jī),該引腳作為外部振蕩信號(hào)的輸入端。3、復(fù)位引腳RST/VPD(9腳)RST(RESET)是復(fù)位信號(hào)輸入端,高電平有效。當(dāng)單片機(jī)運(yùn)行時(shí),在此引腳上加上持續(xù)時(shí)間大于兩個(gè)機(jī)器周期(24個(gè)時(shí)鐘振蕩周期)的高電平時(shí),就可以完成復(fù)位操作。在單片機(jī)正常工作時(shí),此腳應(yīng)為0.5V低電平。VPD為本引腳的第二功能,即備用電源的輸入端。當(dāng)主電源VCC發(fā)生故障,降低到某一規(guī)定值的低電平時(shí),將+5V電源自動(dòng)接入RST端,為內(nèi)部RAM提供備用電源,以保證片內(nèi)RAM中的信息不丟失,從而使單片機(jī)在

11、復(fù)位后能繼續(xù)正常運(yùn)行。4、控制引腳(1)ALE/(30腳)ALE為地址鎖存允許信號(hào),當(dāng)單片機(jī)上電正常工作后,ALE引腳不斷輸出正脈沖信號(hào)。當(dāng)訪問(wèn)單片機(jī)外部存儲(chǔ)器時(shí),輸出信號(hào)的負(fù)跳沿用作低8位地址的鎖存信號(hào)。即使不訪問(wèn)外部鎖存器,端仍有正脈沖信號(hào)輸出,此頻率為時(shí)鐘振蕩頻率fosc的1/6。但是,每當(dāng)訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),在兩個(gè)機(jī)器周期中ALE只出現(xiàn)一次,即丟失一個(gè)ALE脈沖。因此,嚴(yán)格來(lái)說(shuō),不宜用ALE作精確的時(shí)鐘源或定時(shí)信號(hào)。ALE端可以驅(qū)動(dòng)8個(gè)TTL型負(fù)載。為本引腳的第二功能。在對(duì)片內(nèi)EPROM型單片機(jī)編程寫(xiě)入時(shí),此引腳作為編程脈沖輸入端。(2)(29腳)程序存儲(chǔ)器允許輸出控制端。在單片機(jī)訪

12、問(wèn)外部程序存儲(chǔ)器時(shí),此引腳輸出的負(fù)脈沖作為讀外部程序存儲(chǔ)器的選通信號(hào)。此引腳接外部程序存儲(chǔ)器的(輸出允許)端。端可以驅(qū)動(dòng)8個(gè)TTL型負(fù)載。(3)/VPP(31腳)功能為內(nèi)外程序存儲(chǔ)器選擇控制端。當(dāng)端為高電平時(shí),單片機(jī)訪問(wèn)內(nèi)部程序存儲(chǔ)器,但在PC(程序計(jì)數(shù)器)值超過(guò)0FFFH時(shí),將自動(dòng)轉(zhuǎn)向執(zhí)行外部程序存儲(chǔ)器內(nèi)的程序。當(dāng)保持低電平時(shí),則只訪問(wèn)外部程序存儲(chǔ)器,不論是否有內(nèi)部程序存儲(chǔ)器。VPP為本引腳的第二功能。在對(duì)EPROM型單片機(jī)8751片內(nèi)EPROM固化編程時(shí),用于施加較高編程電壓的輸入端,對(duì)于89C51則VPP編程電壓為+12V或+5V。5、I/O口線引腳排列(1)P0口8位、漏極開(kāi)路的雙向I

13、/O口。也即地址/數(shù)據(jù)總線復(fù)位口。當(dāng)使用片外儲(chǔ)存器及外擴(kuò)I/O口時(shí),P0口做為低字節(jié)地址/數(shù)據(jù)復(fù)線。在編程時(shí),P0口可用于接收指令代碼字節(jié);在程序校檢時(shí),P0口可輸出指令字節(jié)(這是需要外加上拉電阻)。(2)P0口也可作為通用I/O使用,變成準(zhǔn)雙向口。當(dāng)作為普通輸入時(shí),應(yīng)將輸出鎖存器置1。P0口可驅(qū)動(dòng)8個(gè)TTL負(fù)載。P1口8位、準(zhǔn)雙口I/O,具有內(nèi)部上拉電阻。P1口是為用戶準(zhǔn)備的I/O雙向口。在編程和校檢時(shí),可用做輸入低8位地址;用做輸入時(shí),應(yīng)先將輸出鎖存器置1;對(duì)端口寫(xiě)“1”,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可做輸出口。做輸出口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸

14、出一個(gè)電流。P1口可驅(qū)動(dòng)4個(gè)TTL負(fù)載。 P1口的P1.0和P1.1具有替代功能。(3)P2口8位、準(zhǔn)雙向I/O口,具有內(nèi)部上拉電阻。當(dāng)使用片外儲(chǔ)存器或外擴(kuò)I/O端口,在訪問(wèn)外部程序存儲(chǔ)器獲16位地址的外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口輸出高8位地址;在訪問(wèn)8位地址的外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口線上的內(nèi)容(也即特殊功能寄存器(SFR)區(qū)中R2寄存器的內(nèi)容),在整個(gè)訪問(wèn)期間不改變。在編程校檢時(shí),P2口可接收高字節(jié)地址和某些控制信號(hào)。P2口也可作為I/O口使用。用做輸入時(shí),應(yīng)先將輸出鎖存器置1;P2口可驅(qū)動(dòng)4個(gè)TTL負(fù)載。(4)P3口8位、準(zhǔn)雙向I/O口,具有內(nèi)部上拉電阻。P3口可作為普通I/O口。用作輸入時(shí),

15、應(yīng)先將輸出鎖存器置1;在編程校檢時(shí),P3口接收某些控制信號(hào);可驅(qū)動(dòng)4個(gè)TTL。P3口除了作為一般的I/O口線外,更重要的用途是它的第二功能,如表1.1所示:表1.1 AT89C51的P3口各種專用功能表引腳替代的專用功能P3.0(串行輸入口)P3.1(串行輸出口)P3.2(外部中斷0)P3.3(外部中斷1)P3.4(定時(shí)器0的外部輸入)P3.5(定時(shí)器1的外部輸入)P3.6(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)P3.7(外部數(shù)據(jù)存儲(chǔ)器讀選通)80C51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑置模式下,CPU停止工作。但RAM,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作。在

16、掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。CD4060介紹CD4060由一振蕩器和14級(jí)二進(jìn)制串行計(jì)數(shù)器位組成,振蕩器的結(jié)構(gòu)可以是RC或晶振電路,RESET為高電平時(shí),計(jì)數(shù)器清零且振蕩器使用無(wú)效。所有的計(jì)數(shù)器位均為主從觸發(fā)器。在CP1(和CP0)的下降沿計(jì)數(shù)器以二進(jìn)制進(jìn)行計(jì)數(shù)。在時(shí)鐘脈沖線上使用斯密特觸發(fā)器對(duì)時(shí)鐘上升和下降時(shí)間無(wú)限制。CD4060的引腳功能圖如圖所示:CD4060引腳功能圖CD4060B典型振蕩器連接:上圖-RC振蕩器 下圖-晶體振蕩器LED的結(jié)構(gòu)LED是一種固態(tài)的半導(dǎo)體器件,它直接可以把電能轉(zhuǎn)化為光能。半導(dǎo)體芯片的核心是“PN結(jié)

17、”,也就是在一塊本征半導(dǎo)體的兩端摻入不同的雜質(zhì),分別形成P型半導(dǎo)體和N型半導(dǎo)體。在PN結(jié)上增加相應(yīng)的導(dǎo)線、殼體、支架等零件形成LED。LED的內(nèi)部結(jié)構(gòu)如圖所示。圖1.1 LED的內(nèi)部結(jié)構(gòu)(1)芯片。LED芯片的實(shí)質(zhì)就是一個(gè)PN結(jié),其內(nèi)電子與空穴復(fù)合發(fā)出光。芯片是LED最重要的發(fā)光部件。其材料主要由砷、鋁、鎵、銦、磷、氮、鍶元素組成。具體選擇何種元素則是由所需LED的發(fā)光顏色決定。(2)封裝。封裝就是將LED芯片及其它一些器件包裹起來(lái),起到一定的防腐、抗震、導(dǎo)熱的作用,從而保證芯片正常工作。車燈LED常用的封裝材料是有機(jī)硅。封裝除了保護(hù)作用以外,對(duì)LED的光線出射影響重大,這主要是由于封裝材料與

18、空氣的折射率不同。LED芯片的光線輸出通常被理解為電光源,但光線經(jīng)過(guò)兩種折射率不同的材料,會(huì)發(fā)生折射現(xiàn)象。當(dāng)入射角度超過(guò)某個(gè)限度時(shí),光線發(fā)生全反射。即LED只能在特定角度內(nèi)存在光線輸出。因此出現(xiàn)“光線出射角”,它對(duì)LED車燈系統(tǒng)配光影響重大。封裝的材料與形狀對(duì)LED的光線輸出起到至關(guān)重要的作用。有的LED為了增大光線出射角,以及更好地控制光線輸出,內(nèi)部增加了發(fā)射碗。(3)散熱元件。由LED本身的發(fā)光原理決定,LED在整個(gè)發(fā)光過(guò)程中沒(méi)有從發(fā)熱到發(fā)光的熱量轉(zhuǎn)換。但LED的芯片以及PCB在工作時(shí),會(huì)有大量的熱量產(chǎn)生,需要配置相應(yīng)的散熱元件。雖然目前采用LED技術(shù)的成本仍然遠(yuǎn)遠(yuǎn)高于采用普通燈泡,但LE

19、D汽車信號(hào)燈系統(tǒng)具有明顯的優(yōu)勢(shì),從而能夠得到長(zhǎng)遠(yuǎn)的研究及開(kāi)發(fā)空間。其優(yōu)勢(shì)有:布置緊湊。LED體積小,可以實(shí)現(xiàn)更為緊湊的光學(xué)設(shè)計(jì)。節(jié)省了車燈系統(tǒng)的后部空間,為整車布置及相關(guān)車身結(jié)構(gòu)設(shè)計(jì)提供了便利。使用壽命長(zhǎng)。LED的使用壽命長(zhǎng),基本可以使車燈系統(tǒng)達(dá)到整車壽命,無(wú)需更換。環(huán)保。LED不含有害物質(zhì)汞,減少對(duì)環(huán)境的污染。節(jié)能。LED的實(shí)際光效可以達(dá)到80%以上。2 汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)工作原理2.1 汽車轉(zhuǎn)向燈工作原理由定時(shí)器/計(jì)數(shù)器與中斷系統(tǒng)的聯(lián)合組成控制系統(tǒng)的工作原理。如汽車上有一個(gè)轉(zhuǎn)向控制桿,其中有三個(gè)位置:中間位置,汽車不轉(zhuǎn)向;向上,汽車左轉(zhuǎn);向下汽車右轉(zhuǎn)。轉(zhuǎn)向時(shí),規(guī)定左右尾燈、左右頭燈儀

20、表板上2個(gè)指示燈相應(yīng)地發(fā)出閃爍信號(hào)。應(yīng)急開(kāi)關(guān)合上時(shí), 6個(gè)信號(hào)燈都應(yīng)閃爍。汽車剎車時(shí),2個(gè)尾燈發(fā)出不閃爍信號(hào)。如正當(dāng)轉(zhuǎn)向時(shí)剎車,轉(zhuǎn)向時(shí)原應(yīng)閃爍的信號(hào)仍應(yīng)閃爍。它們都是頻率為1Hz低頻閃爍,在汽車??慷?块_(kāi)關(guān)合上時(shí),左頭燈、右頭燈、左尾燈、右尾燈按頻率為30Hz的高頻閃爍。由上所述,各種情況作操作時(shí),信號(hào)燈應(yīng)輸出信號(hào)列于表2.1。表2.1 汽車駕駛操縱與信號(hào)駕 駛 操 作輸 出 信 號(hào)儀表板左轉(zhuǎn)向燈儀表板右轉(zhuǎn)向燈左頭燈右頭燈左尾燈右尾燈左轉(zhuǎn)向(合上左轉(zhuǎn)開(kāi)關(guān))閃爍閃爍閃爍右轉(zhuǎn)向(合上右轉(zhuǎn)開(kāi)關(guān))閃爍閃爍閃爍合緊急開(kāi)關(guān)閃爍閃爍閃爍閃爍閃爍閃爍剎車(合上剎車開(kāi)關(guān))亮亮左轉(zhuǎn)向時(shí)剎車閃爍閃爍閃爍亮右轉(zhuǎn)向時(shí)

21、剎車閃爍閃爍亮閃爍剎車,并合緊急開(kāi)關(guān)閃爍閃爍閃爍閃爍亮亮左轉(zhuǎn)向時(shí)剎車,并合緊急開(kāi)關(guān)閃爍閃爍閃爍閃爍閃爍亮右轉(zhuǎn)向時(shí)剎車,并合緊急開(kāi)關(guān)閃爍閃爍閃爍閃爍亮閃爍??浚ê贤?块_(kāi)關(guān))30Hz閃爍30Hz閃爍30Hz閃爍30Hz閃爍2.2 單片機(jī)系統(tǒng)的工作原理及設(shè)計(jì)中斷系統(tǒng)中斷技術(shù)是為使單片機(jī)具有對(duì)外部或內(nèi)部隨機(jī)發(fā)生的事件實(shí)時(shí)處理而設(shè)置的,中斷功能的存在,很大程度上提高了單片機(jī)處理外部或內(nèi)部事件的能力。中斷是指CPU在處理某一事件A時(shí),發(fā)生了另一事件B,請(qǐng)求CPU迅速去處理(中斷發(fā)生);CPU暫時(shí)停止當(dāng)前的工作(中斷響應(yīng)),轉(zhuǎn)去處理事件B(中斷服務(wù));待CPU將事件B處理完畢后,再回到原來(lái)事件A被中斷的地方

22、繼續(xù)處理事件A(中斷返回),單片機(jī)在執(zhí)行程序時(shí),中斷隨時(shí)可能發(fā)生,但無(wú)論何時(shí)發(fā)生,只要一旦發(fā)生,單片機(jī)將立即暫時(shí)當(dāng)前程序,去處理中斷程序。單片機(jī)在執(zhí)行程序時(shí)其流程圖如圖2.1所示。圖2.1 單片機(jī)中斷過(guò)程中斷的開(kāi)啟與關(guān)閉、設(shè)置啟動(dòng)哪一個(gè)中斷等都是由單片機(jī)內(nèi)部的一些特殊功能寄存器來(lái)決定的。80C51的中斷系統(tǒng)有5個(gè)中斷源(8052有 6個(gè)),2個(gè)優(yōu)先級(jí),可實(shí)現(xiàn)二級(jí)中斷服務(wù)嵌套。每個(gè)中斷源的中斷優(yōu)先級(jí)都是由中斷優(yōu)先級(jí)寄存器IP中的相應(yīng)位的狀態(tài)來(lái)規(guī)定的。同一優(yōu)先級(jí)中的中斷申請(qǐng)不止一個(gè)時(shí),則有中斷優(yōu)先權(quán)排隊(duì)問(wèn)題。同一優(yōu)先級(jí)的中斷優(yōu)先權(quán)排隊(duì),由中斷系統(tǒng)硬件確定的自然優(yōu)先級(jí)形成,其排列如表2.2所示。表2

23、.2 各中斷源響應(yīng)優(yōu)先級(jí)及中斷服務(wù)程序入口表中斷源中斷標(biāo)志中斷服務(wù)程序入口優(yōu)先級(jí)順序外部中斷0()IE00003H高定時(shí)器/計(jì)數(shù)器0(T0)TF0000BH外部中斷1()IE10013H定時(shí)器/計(jì)數(shù)器1(T1)TF1001BH串行口RI或TI0023H低單片機(jī)使用中斷功能時(shí),通常需要設(shè)置兩個(gè)與中斷有關(guān)的寄存器:中斷允許寄存器IE和中斷優(yōu)先級(jí)寄存器IP。(1) 中斷允許控制寄存器(IE)。中斷允許寄存器用來(lái)設(shè)定各個(gè)中斷源的打開(kāi)和關(guān)閉,IE在特殊功能寄存器中,字節(jié)地址為A8H,位地址(由低位到高位)分別是A8HAFH,該寄存器可進(jìn)行位尋址,即可對(duì)該寄存器的每一位進(jìn)行單獨(dú)操作。單片機(jī)復(fù)位時(shí)IE全部被

24、清零。各位定義如表2.3所示。表2.3 IE各位定義位序B7B6B5B4B3B2B1B0位符號(hào)EAESET1EX1ET0EX0位地址AFHACHABHAAHA9HA8HIE寄存器中與定時(shí)器/計(jì)數(shù)器有關(guān)的位置介紹:EA-中斷允許總控制位EA=1,打開(kāi)全局中斷控制,在此條件下,由各個(gè)中斷控制位確定相應(yīng)中斷的打開(kāi)或關(guān)閉。EA=0,關(guān)閉全部中斷。ES-串行口中斷允許控制位ES=0 關(guān)閉外部中斷ES=1 打開(kāi)外部中斷ET0和ET1-定時(shí)器/計(jì)數(shù)器中斷允許控制位ET0(ET1)=0 關(guān)閉定時(shí)器/計(jì)數(shù)器中斷ET0(ET1)=1 打開(kāi)定時(shí)器/計(jì)數(shù)器中斷EX0和EX1-外部中斷允許控制位EX0(EX1)=0 關(guān)

25、閉外部中斷EX0(EX1)=1 打開(kāi)外部中斷“”表示無(wú)效位。(2) 中斷優(yōu)先級(jí)寄存器IP。中斷優(yōu)先級(jí)寄存器在特殊功能寄存器中,字節(jié)地址為B8H,位地址(由低位到高位)分別是B8HBFH,該寄存器可進(jìn)行位尋址。IP用來(lái)設(shè)定各個(gè)中斷源屬于兩級(jí)中斷中的哪一級(jí)。單片機(jī)復(fù)位時(shí)IE全部被清0。各位定義如表2.4所示。表2.3 IP各位定義位序B7B6B5B4B3B2B1B0位符號(hào)PSPT1PX1PT0PX0位地址BCHBBHBAHB9HB8HIP中相應(yīng)位被置1時(shí),相應(yīng)的中斷定義為高優(yōu)先級(jí)中斷;相應(yīng)位被清0時(shí),相應(yīng)的中斷定義為低優(yōu)先級(jí)中斷。在51單片機(jī)系列中,高優(yōu)先級(jí)中斷能夠打斷低優(yōu)先級(jí)中斷形成中斷嵌套,同

26、優(yōu)先級(jí)中斷之間,或低級(jí)對(duì)高級(jí)中斷則不能形成中斷嵌套。中斷技術(shù)的重要作用有如下四點(diǎn):第一,高速CPU和低速外設(shè)之間的配合。利用中斷方式進(jìn)行的I/O口操作,在宏觀上可以看成CPU和外設(shè)的并行工作,提高了單片機(jī)的利用率;。第二,實(shí)現(xiàn)故障的緊急處理。當(dāng)外設(shè)發(fā)生故障時(shí),可以利用中斷系統(tǒng)請(qǐng)求CPU及時(shí)處理這些故障,從而使系統(tǒng)可靠性提高。第三,可以實(shí)現(xiàn)實(shí)時(shí)控制,CPU能夠及時(shí)處理應(yīng)用系統(tǒng)的隨機(jī)事件,系統(tǒng)的實(shí)時(shí)性大大增強(qiáng)。第四,便于人機(jī)聯(lián)系。操作人員可以利用鍵盤(pán)等實(shí)現(xiàn)中斷,完成人工介入。定時(shí)器和計(jì)數(shù)器信號(hào)的控制是定時(shí)器與中斷系統(tǒng)的聯(lián)合使用得以實(shí)現(xiàn)。單片機(jī)的控制系統(tǒng)應(yīng)用中,定時(shí)器是必需的,在汽車轉(zhuǎn)向燈的控制中也

27、是必不可少。定時(shí)有三種選擇方法。(1)軟件的定時(shí)它是靠執(zhí)行一個(gè)循環(huán)程序以進(jìn)行時(shí)間的延遲。軟件定時(shí)的優(yōu)點(diǎn)是時(shí)間精確,且不需外加硬件電路。但它要占用CPU的時(shí)間,降低利用率,因此軟件定時(shí)的時(shí)間不能太長(zhǎng)。此外,軟件定時(shí)方法有時(shí)候無(wú)法使用。(2)硬件的定時(shí)時(shí)間較長(zhǎng)的定時(shí),常使用硬件電路完成。硬件定時(shí)方法的優(yōu)點(diǎn)是定時(shí)功能全部由硬件電路完成,不需要占CPU的時(shí)間。用元件參數(shù)來(lái)調(diào)節(jié)定時(shí)時(shí)間,這方面使用上不夠靈活方便。(3)可編程定時(shí)器的定時(shí)它是通過(guò)對(duì)系統(tǒng)時(shí)鐘脈沖的計(jì)數(shù)來(lái)實(shí)現(xiàn)的。計(jì)數(shù)值由程序設(shè)定,改變計(jì)數(shù)值,同時(shí)也改變了定時(shí)時(shí)間,用起來(lái)既靈活且方便。此外,采用計(jì)數(shù)方法實(shí)現(xiàn)定時(shí),可編程定時(shí)器都兼有計(jì)數(shù)功能,能對(duì)

28、外來(lái)脈沖進(jìn)行計(jì)數(shù)。在AT89C51單片機(jī)內(nèi)部共有2個(gè)可編程的定時(shí)器和計(jì)數(shù)器,稱定時(shí)器/計(jì)數(shù)器0和定時(shí)器/計(jì)數(shù)器1,其實(shí)質(zhì)就是加1計(jì)數(shù)器,這兩個(gè)計(jì)數(shù)器分別由TH0,TL0和TH1,TL1四個(gè)8位的寄存器單元組成,即每個(gè)計(jì)數(shù)器都是16位的計(jì)數(shù)器,最大的計(jì)數(shù)量時(shí)65536。TMOD是定時(shí)器/計(jì)數(shù)器的工作方式寄存器,確定工作方式和功能;TCON是控制寄存器,控制T0、T1的啟動(dòng)和停止及設(shè)置溢出標(biāo)志。其具體結(jié)構(gòu)如圖2.1所示。圖2.1 定時(shí)器/記數(shù)器的結(jié)構(gòu)定時(shí)器/計(jì)數(shù)器計(jì)數(shù)功能和定時(shí)功能:(1)計(jì)數(shù)器功能計(jì)數(shù)是指對(duì)外部事件進(jìn)行計(jì)數(shù)。它的發(fā)生以輸入脈沖表示,計(jì)數(shù)功能的實(shí)質(zhì)就是對(duì)外來(lái)的脈沖進(jìn)行計(jì)數(shù)。AT89

29、C51芯片有T0(P3.4)和T1(P3.5)兩個(gè)信號(hào)引腳,是這兩個(gè)計(jì)數(shù)器的計(jì)數(shù)輸入端。外部輸入的脈沖在負(fù)跳變時(shí)有效,進(jìn)行計(jì)數(shù)器加1(加法計(jì)數(shù))。當(dāng)加到計(jì)數(shù)器全為1時(shí),再輸入一個(gè)脈沖就使計(jì)數(shù)器清零,同時(shí)計(jì)數(shù)器的溢出使TCON寄存器中TF0或TF1置1,向CPU發(fā)出中斷請(qǐng)求(定時(shí)器/計(jì)數(shù)器中斷允許時(shí)),表示計(jì)數(shù)值已滿。AT89C51在每個(gè)機(jī)器周期的S5P2拍節(jié)對(duì)外部計(jì)數(shù)脈沖進(jìn)行采樣。當(dāng)某一個(gè)機(jī)器周期采樣為高電平,而下一個(gè)機(jī)器周期采樣為低電平,這樣就是一個(gè)有效的計(jì)數(shù)脈沖,則計(jì)數(shù)器加1,更新的計(jì)數(shù)值在下一個(gè)機(jī)器周期的S3P1期間裝入計(jì)數(shù)器。由于檢測(cè)一個(gè)從10的下降沿需要2個(gè)機(jī)器周期,因此要求被采樣的

30、電平至少要維持一個(gè)機(jī)器周期。計(jì)數(shù)脈沖頻率不能高于振蕩脈沖頻率的1/24。當(dāng)晶振頻率為12MHz時(shí),最高計(jì)數(shù)頻率不超過(guò)1/2MHz,即計(jì)數(shù)脈沖的周期要大于2us。 (2)定時(shí)器功能實(shí)際也是通過(guò)計(jì)數(shù)器來(lái)實(shí)現(xiàn)的,但此時(shí)的計(jì)數(shù)脈沖來(lái)自單片機(jī)的內(nèi)部,由系統(tǒng)的時(shí)鐘振蕩器輸出脈沖經(jīng)12分頻后送來(lái),也即每個(gè)機(jī)器周期計(jì)數(shù)器加1。一個(gè)機(jī)器周期等于12個(gè)振蕩脈沖周期,因此計(jì)數(shù)頻率為振蕩頻率的1/12。單片機(jī)采用12MHz晶體,計(jì)數(shù)頻率為1MHz。每us計(jì)數(shù)器加1。由此可見(jiàn),由溢出時(shí)計(jì)數(shù)器的值減去計(jì)數(shù)初值才是加1計(jì)數(shù)器的計(jì)數(shù)值。根據(jù)計(jì)數(shù)值計(jì)算出定時(shí)時(shí)間,也可以反過(guò)來(lái)按定時(shí)時(shí)間的要求計(jì)算出計(jì)數(shù)器的預(yù)置值。在計(jì)數(shù)器計(jì)數(shù)滿

31、回零時(shí)能自動(dòng)產(chǎn)生溢出中斷請(qǐng)求,一次計(jì)數(shù)完成。T1、T2的最大計(jì)數(shù)值65536-1,需65535個(gè)脈沖才能把它們從全“0”狀態(tài)變?yōu)槿?”狀態(tài)。輸一個(gè)脈沖,計(jì)數(shù)器加1,當(dāng)加到計(jì)數(shù)器各位全為1時(shí),再去輸一個(gè)脈沖,計(jì)數(shù)器各位就變?yōu)槿?,發(fā)出溢出信號(hào),使標(biāo)志置1,此時(shí)向CPU申請(qǐng)中斷,執(zhí)行相應(yīng)的中斷程序。2.2.4 定時(shí)初始化單片機(jī)在使用時(shí),通常要對(duì)定時(shí)器/計(jì)數(shù)器控制寄存器(TCON)、工作方式控制寄存器(TMOD)和中斷允許控制寄存器(IE)進(jìn)行設(shè)置。(1) 定時(shí)器/計(jì)數(shù)器控制寄存器(TCON)。定時(shí)器/計(jì)數(shù)器控制寄存器在特殊功能寄存器中,字節(jié)地址為88H,位地址(由低位到高位)分別是88H8FH,

32、該寄存器可進(jìn)行位尋址。TCON寄存器用來(lái)控制定時(shí)器的啟動(dòng)與停止,標(biāo)志定時(shí)器溢出和中斷情況。單片機(jī)復(fù)位時(shí)TCON全部被清零,各位定義如表2.1所示。其中,TF1、TR1、TF0和TR0位用于定時(shí)器/計(jì)數(shù)器;IE1、IT1、IE0和IT0位用于外部中斷。表2.1 TCON各位定義位序B7B6B5B4B3B2B1B0位符號(hào)TF1TR1TF0TR0IE1IT1IE0IT0位地址8FH8EH8DH8CH8BH8AH89H88HTF1(TCON.7):定時(shí)器1溢出中斷請(qǐng)求標(biāo)志位。當(dāng)定時(shí)器1計(jì)數(shù)滿溢出時(shí),由硬件自動(dòng)置TF1為1,并且申請(qǐng)中斷。CPU響應(yīng)中斷后TF1由硬件自動(dòng)清0。T1工作時(shí),CPU可隨時(shí)查詢

33、TF1的狀態(tài)。所以,TF1可用作查詢測(cè)試的標(biāo)志。TF1也可以用軟件置1或清0,同硬件置1或清0的效果一樣。TR1(TCON.6):定時(shí)器1運(yùn)行控制位。TR1由軟件置1或清0控制定時(shí)/計(jì)數(shù)器的啟動(dòng)與停止。由軟件清0關(guān)閉定時(shí)器1。當(dāng)GATE=1,且INT1為高電平時(shí),TR1置1定時(shí)器開(kāi)始工作;當(dāng)GATE=0時(shí),TR1置1啟動(dòng)定時(shí)器1。TF0(TCON.5):T0溢出中斷請(qǐng)求標(biāo)志位,其功能及操作方法與TF1類同。TR0(TCON.4):T0運(yùn)行控制位,其功能及操作方法與TR1類同。IE1(TCON.3):外部中斷1請(qǐng)求標(biāo)志位。當(dāng)IT1=0時(shí),為電平觸發(fā)方式,每個(gè)機(jī)器周期的S5P2采樣INT1引腳,若

34、INT1腳為低電平,則置1,否則IE1清0.當(dāng) =1時(shí),為跳變沿觸發(fā)方式,當(dāng)?shù)谝粋€(gè)機(jī)器周期采樣到為低電平時(shí),則IE1置1。IE1=1,表示外部中斷1正向CPU申請(qǐng)中斷。當(dāng)CPU響應(yīng)中斷,轉(zhuǎn)向中斷服務(wù)程序時(shí),該位由硬件清0。IT1(TCON.2):外部中斷1觸發(fā)方式選擇位。IT1=0時(shí),為電平觸發(fā)方式,引腳上低電平有效。IT1=1時(shí),為跳變沿觸發(fā)方式,引腳上的電平從高到低的負(fù)跳變有效。IE0(TCON.1):外部中斷0請(qǐng)求標(biāo)志,其功能及操作方法與IE1類同。IT0(TCON.0):外部中斷0觸發(fā)方式選擇位,其功能及操作方法與IT1類同。(2) 工作方式控制寄存器(TMOD)定時(shí)器/計(jì)數(shù)器工作方式

35、寄存器在特殊功能寄存器中,字節(jié)地址為89H,不可進(jìn)行位尋址。TMOD用來(lái)確定定時(shí)器的工作方式及功能選擇,它的低半字節(jié)設(shè)置定時(shí)器/計(jì)數(shù)器0,高半字節(jié)設(shè)置定時(shí)器/計(jì)數(shù)器1。單片機(jī)復(fù)位時(shí)TMOD全部被清零。各位定義如表2.2所示。表2.2 TMOD各位定義位序B7B6B5B4B3B2B1B0位符號(hào)GATEC/M1M0GATEC/M1M0其中:GATE-門控制位。GATE0時(shí),只要用軟件使TCON中的TR0或TR1為1,就可以啟動(dòng)定時(shí)/計(jì)數(shù)器工作;GATA1時(shí),要用軟件使TR0或TR1為1,同時(shí)外部中斷引腳或也為高電平時(shí),才能啟動(dòng)定時(shí)/計(jì)數(shù)器工作。 C/-定時(shí)方式或計(jì)數(shù)模式選擇位。 C/=0 定時(shí)工作

36、方式;C/=1 計(jì)數(shù)工作方式 M1M0-工作方式選擇位。每個(gè)定時(shí)器/計(jì)數(shù)器都有四種工作方式,它們由M1M0進(jìn)行設(shè)置,對(duì)應(yīng)關(guān)系如表2.3所示。表2.3 定時(shí)器/計(jì)數(shù)器的四種工作方式M1M0工作方式00方式0,為13位定時(shí)器/計(jì)數(shù)器01方式1,為16位定時(shí)器/計(jì)數(shù)器10方式2,8位初值自動(dòng)重裝的8位定時(shí)器/計(jì)數(shù)器11方式3,僅適用于T0,分成兩個(gè)8位計(jì)數(shù)器,TI停止計(jì)數(shù)本設(shè)計(jì)采用的是定時(shí)器0的工作方式1,由TL0寄存器作為低8位、TH0寄存器作為高8位,組成了16位加1計(jì)數(shù)器,其邏輯結(jié)構(gòu)框圖如圖2.2所示。圖2.2 定時(shí)器0方式1邏輯結(jié)構(gòu)框圖分析上面的邏輯圖,當(dāng)GATE=0,TR0=1時(shí),TL0便

37、在機(jī)器周期的作用下開(kāi)始加1計(jì)數(shù),當(dāng)TL0計(jì)滿后向TH0進(jìn)一位,直到把TH0也計(jì)滿,此時(shí)計(jì)數(shù)器溢出,置TF0為1,接著向CPU進(jìn)行中斷處理。在這種情況下,只要TR0為1,那么計(jì)數(shù)器就不會(huì)停止。接下來(lái)計(jì)算定時(shí)器的初值。定時(shí)器一旦啟動(dòng),它便在原來(lái)的數(shù)值上開(kāi)始加1計(jì)數(shù),設(shè)機(jī)器周期為Tcy,定時(shí)器產(chǎn)生一次中斷的時(shí)間為t,那么需要計(jì)數(shù)的個(gè)數(shù)N=t/Tcy,裝入TH0和TL0中的數(shù)分別為TH0=(65536-N)/256 , TL0=(65536-N)%256要計(jì)算機(jī)器周期Tcy,就需要知道系統(tǒng)時(shí)鐘頻率,本設(shè)計(jì)采用單片機(jī)的時(shí)鐘頻率為12MHz,機(jī)器周期1us。注意在用工作方式1時(shí),我們必須要重新裝載初值。第

38、四章 控制系統(tǒng)的硬件設(shè)計(jì)4.1 單片機(jī)控制系統(tǒng)電路圖4.1.1 汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)框圖汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)電路是由單片機(jī)AT89C51、復(fù)位、電源、時(shí)鐘、LED顯示電路、按鍵電路、看門狗電路和掉電保護(hù)電路構(gòu)成。電源電路給控制相關(guān)電路提供所需電源;復(fù)位電路供上電時(shí)復(fù)位用。時(shí)鐘電路用來(lái)產(chǎn)生時(shí)鐘脈沖信號(hào),供單片機(jī)工作使用;通過(guò)并行I/O口構(gòu)成鍵盤(pán)和顯示電路,當(dāng)單片機(jī)處于死循環(huán)時(shí),看門狗電路自動(dòng)使單片機(jī)復(fù)位,當(dāng)電源故障時(shí),掉電保護(hù)電路自動(dòng)切換至備用電源端為單片機(jī)提供電源,提高了系統(tǒng)的可靠性。汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)框圖如圖4.1所示。圖4.1 汽車轉(zhuǎn)向燈單片機(jī)控制系統(tǒng)框圖4.2 單片機(jī)控制系

39、統(tǒng)功能模塊的設(shè)計(jì)4.2.1 電源電路在所學(xué)的電源中的整元件類型可分為電子管穩(wěn)壓電路、三極管穩(wěn)壓電路、可控硅穩(wěn)壓電路,集成穩(wěn)壓電路等;根據(jù)調(diào)整元件與向載連接方法,可分為并聯(lián)型和串聯(lián)型;根據(jù)調(diào)整元件工作狀態(tài)不同,可分為線性和開(kāi)關(guān)穩(wěn)壓電路。單片機(jī)系統(tǒng)中使用的集成電路器件大多數(shù)在5V電源電壓工作。隨著集成制造技術(shù)的發(fā)展以及數(shù)字式電子元器件的微小型化,集成電路元器件采用更先進(jìn)精細(xì)的制造工藝,在減小集成芯片幾何尺寸的同時(shí),降低工作電壓。我們學(xué)習(xí)的小功率直流穩(wěn)壓電源電路由電源變壓器、整流電路、濾波電路、穩(wěn)壓電路等四部分組成,如圖4.2所示。 圖4.2 直流穩(wěn)壓電源基本電路框圖直流穩(wěn)壓電源的各基本電路如下:(

40、1)變壓器它的作用是將電網(wǎng)220V的交流電壓V1變換成整流濾波電路所需要的交流電壓V2。變壓器副邊與原邊的功率比如式(4.1)所示。 (4.1)式(4.1)中,為變壓器的效率。(2)穩(wěn)壓電路常見(jiàn)的集成穩(wěn)壓器有固定式三端穩(wěn)壓器與可調(diào)式三端穩(wěn)壓器。此電路部分采用集成穩(wěn)壓器。固定式三端穩(wěn)壓器的常見(jiàn)產(chǎn)品有兩種:CW78系列穩(wěn)壓器輸出固定的正電壓,如7805輸出為+5V,7812輸出為+12V; CW79系列穩(wěn)壓器輸出固定的負(fù)電壓,如7905輸出為-5V,7912輸出為-12V。輸出端接電容C2進(jìn)一步濾去紋波,輸出端接電容C3能改善負(fù)載的瞬態(tài)影響,使電路穩(wěn)定工作。(3)整流濾波電路整流二極管D1D4組成

41、單向橋式整流電路,將交流電壓V2變成脈動(dòng)的直流電壓,再經(jīng)過(guò)濾波電容C1,C2濾去紋波,輸出直流電壓Vi。Vi與交流電壓V2的有效值的關(guān)系如式(4.2)所示。 (4.2)每只整流二極管承受的最大反向電壓如式所示。 (4.3)按課題設(shè)計(jì)要求,我們需要的能輸出5V12V的直流電源,其原理圖如圖4.3所示。圖4.3 直流穩(wěn)壓電源電路圖4.2.2 時(shí)鐘電路單片機(jī)各功能部件的運(yùn)行都是以時(shí)鐘頻率為基準(zhǔn),有條不紊地一拍一拍地工作。時(shí)鐘頻率直接影響單片機(jī)的速度,時(shí)鐘電路的質(zhì)量也直接影響單片機(jī)系統(tǒng)的穩(wěn)定性。常用的時(shí)鐘電路有兩種方式,一是外部時(shí)鐘方式,另一種是內(nèi)部時(shí)鐘方式。1、外部時(shí)鐘方式多片單片機(jī)組成的系統(tǒng)中,為

42、了各單片機(jī)間時(shí)鐘信號(hào)的同步,常引入統(tǒng)一的外部脈沖信號(hào)作為各單片機(jī)的振蕩脈沖。將外部震蕩器的信號(hào)接至XTAL2內(nèi)部時(shí)鐘發(fā)生器的輸入端,而內(nèi)部反向發(fā)大器的輸入端XTAL1應(yīng)接地, XTAL2的邏輯電平不是TTL電平,所以需要外接一個(gè)上拉電阻。因?yàn)檎麄€(gè)電路只用一塊單片機(jī),不涉及時(shí)刻信號(hào)同步問(wèn)題,所以此種電路我們不選用。2、內(nèi)部時(shí)鐘方式在MCS-51系列單片機(jī)內(nèi)部有一個(gè)用于構(gòu)成振蕩器的高增益反向放大器,該高增益反向放大器的輸入端為芯片引腳XTAL1,輸出端為引腳XTAL2。而在芯片外部XTAL1和 XTAL2之間跨接晶體振蕩器和微調(diào)電容,形成反饋電路,就構(gòu)成了一個(gè)穩(wěn)定的自激振蕩器。外接晶體(石英或陶瓷

43、,陶瓷的精度不高,但價(jià)格便宜)振蕩器以及電容C1和C2構(gòu)成并聯(lián)諧振電路,接在放大器的反饋回路中。電路中的電容 C1和C2典型值通常選擇為30pF左右。對(duì)外接電容的值雖然沒(méi)有嚴(yán)格的要求,但電容的大小會(huì)對(duì)振蕩器頻率的高低、振蕩器的穩(wěn)定性和起振的快速性有一定的影響。晶振的振蕩頻率的范圍通常是在1.2MHz12MHz之間。晶振的頻率越高,則系統(tǒng)的時(shí)鐘頻率也就越高,單片機(jī)的運(yùn)行速度也就越快。但反過(guò)來(lái)運(yùn)行速度對(duì)存儲(chǔ)器的速度要求就高,對(duì)印制電路板的工藝要求就越高,即要求線間的寄生電容要小;晶振和電容盡可能安裝的與單片機(jī)靠近,以減少寄生電容,更好地保證振蕩器穩(wěn)定、可靠地工作。為了提高溫度穩(wěn)定性,應(yīng)采用溫度穩(wěn)定

44、性好的NPO高頻電容。本設(shè)計(jì)采用單片機(jī)內(nèi)部晶振。如圖4.5所示。圖4.5 時(shí)鐘振蕩電路4.2.3 復(fù)位電路復(fù)位是單片機(jī)的初始化操作,只要給RESET引腳加上2個(gè)機(jī)器周期以上的高電平信號(hào),就可以使MCS-51單片機(jī)復(fù)位。復(fù)位的主要功能是把PC初始化為0000H,使MCS-51單片機(jī)從0000H單元開(kāi)始執(zhí)行程序。除了進(jìn)入系統(tǒng)的正常初始化之外,當(dāng)由于程序運(yùn)行出錯(cuò)或操作錯(cuò)誤使系統(tǒng)處于死鎖狀態(tài),為了擺脫死鎖狀態(tài),通過(guò)按復(fù)位鍵重新啟動(dòng),或通過(guò)看門狗電路自動(dòng)重啟單片機(jī)。51單片機(jī)的片內(nèi)復(fù)位結(jié)構(gòu)如圖4.6所示。單片機(jī)的復(fù)位引腳通過(guò)一個(gè)斯密特觸發(fā)器與復(fù)位電路相連,斯密特觸發(fā)器用來(lái)抑制噪聲,在每個(gè)及其周期的S5P

45、2,斯密特觸發(fā)器的輸出電平有復(fù)位電路采樣一次,然后才能得到內(nèi)部復(fù)位操作所需的信號(hào)。RST復(fù)位電路斯密特觸發(fā)器圖4.6 8051的片內(nèi)復(fù)位結(jié)構(gòu)復(fù)位電路通常采用上電復(fù)位和按鍵復(fù)位兩種方式。按鍵手動(dòng)復(fù)位又分按鍵脈沖電平復(fù)位和按鍵電平復(fù)位兩種。其中電平復(fù)位是通過(guò)RST端經(jīng)電阻與電源Vcc接通而實(shí)現(xiàn)。按鍵脈沖復(fù)位是利用RC分電路產(chǎn)生正脈沖來(lái)達(dá)到復(fù)位的。在按鍵電平復(fù)位和按鍵脈沖復(fù)位兩種簡(jiǎn)單的復(fù)位電路中,干擾易串入復(fù)位端,在大多數(shù)情況下,不會(huì)造成單片機(jī)的錯(cuò)誤復(fù)位,但會(huì)引起內(nèi)部寄存器錯(cuò)誤復(fù)位,這里可在復(fù)位端引腳上接一個(gè)去藕電容。需說(shuō)明的是,如復(fù)位電路中R、C的值選擇不當(dāng),使復(fù)位時(shí)間過(guò)長(zhǎng),單片機(jī)將處于循環(huán)復(fù)位狀

46、態(tài)。上電復(fù)位電路是通過(guò)電路的電容充電來(lái)實(shí)現(xiàn)的。只要Vcc的上升時(shí)間不超過(guò)1ms,就可以實(shí)現(xiàn)自動(dòng)上電復(fù)位。本設(shè)計(jì)采用上電復(fù)位電路如圖4.7所示。圖4.7 上電復(fù)位電4.2.4 鍵盤(pán)接口電路的設(shè)計(jì)常用的鍵盤(pán)接口分為矩陣式鍵盤(pán)接口和獨(dú)立式按鍵接口。矩陣式鍵盤(pán)適合于按鍵數(shù)量較多的場(chǎng)合,它由行線和列線組成,按鍵位于行列的交叉點(diǎn)上,可以節(jié)省很多的I/O口線。按鍵設(shè)置在行、列交點(diǎn)上,行、列線分別連接到按鍵開(kāi)關(guān)的兩端。行線通過(guò)上拉電阻接到+5V上。平時(shí)無(wú)按鍵按下時(shí),行線處于高電平狀態(tài),而當(dāng)有按鍵按下時(shí),行線電平狀態(tài)將由與此行線相連的的列線電平?jīng)Q定。列電平如果為低,則行線電平為低;列電平如果為高,則行線電平也為

47、高。這是識(shí)別矩陣鍵盤(pán)按鍵是否被按下的關(guān)鍵所在。由于矩陣鍵盤(pán)中行、列線為多鍵共用,各按鍵均影響該鍵所在行和列的電平。因此各按鍵彼此將相互發(fā)生影響,所以必須將行、列線信號(hào)配合起來(lái)并作適當(dāng)?shù)奶幚?,才能確定閉合鍵的位置。獨(dú)立式按鍵就是各按鍵相互獨(dú)立,每個(gè)按鍵各接一根輸入線,一根輸入線上的按鍵工作狀態(tài)不會(huì)影響其他輸入線上的工作狀態(tài)。因此,通過(guò)檢測(cè)輸入線的電平狀態(tài)可以很容易判斷哪個(gè)按鍵被按下了。獨(dú)立式按鍵電路配置很靈活,軟件簡(jiǎn)單。但每個(gè)按鍵需占用一根輸入口線,在按鍵輸入數(shù)量較多時(shí),需要較多的輸入口線且電路結(jié)構(gòu)繁雜,故此種鍵盤(pán)適用于按鍵較少或操作速度較高的場(chǎng)合。本設(shè)計(jì)選用獨(dú)立式鍵盤(pán),如圖4.9所示,單片機(jī)引

48、腳作為輸入使用,首先置“1”。當(dāng)鍵沒(méi)有被按下時(shí),單片機(jī)引腳上為高電平;而當(dāng)鍵被按下去后,引腳接地,單片機(jī)引腳上為低電平。對(duì)于這種接法,程序可以采用不斷查詢的方法,其功能就是:檢測(cè)是否有鍵閉合,判斷鍵號(hào)并轉(zhuǎn)入相應(yīng)的鍵處理。S5SW-PBS4SW-PBS3SW-PBS2SW-PBS5S4S3S2S1SW-PBS1圖4.9 獨(dú)立式鍵盤(pán)原理圖4.2.5 信號(hào)燈電路LED具有二極管的單向?qū)щ姷奶匦?,?dāng)外加正向電壓使得正向電流滿足一定條件時(shí)就能夠正常發(fā)光。LED導(dǎo)通后,其導(dǎo)通壓降一般在1.7V左右,在LED電路中串聯(lián)一個(gè)1K的電阻限制通過(guò)LED的電流,防止因電流過(guò)大而燒壞LED。本設(shè)計(jì)中將LED與89C5

49、1單片機(jī)的P1腳相連,電路如圖4.10所示,通過(guò)控制AT89C51單片機(jī)的P1口高低電平的變化來(lái)控制相應(yīng)發(fā)光二極管的亮滅,從而實(shí)現(xiàn)信號(hào)燈的指示作用。D1LEDD2LEDD3LEDD4LEDD5LEDD6LEDR11KR21KR31KR41KR51KR61KVCCL1L2L3R1R2R3圖4.11 信號(hào)燈電路4.2.6 看門狗電路單片機(jī)應(yīng)用系統(tǒng)的抗干擾技術(shù)以及其受干擾后的自我恢復(fù),在硬件和軟件等方面可以采用多種方法。這些方法相互結(jié)合,配合使用,有效地提高了系統(tǒng)的可靠性與抗干擾性。 看門狗(WatchDogTimer,簡(jiǎn)稱為WDT)技術(shù)就是最常見(jiàn)的抗干擾技術(shù)。看門狗WDT有硬件看門狗和軟件看門狗之

50、分,無(wú)論是硬件看門狗還是軟件看門狗實(shí)際上都是一個(gè)可清零的定時(shí)計(jì)數(shù)器。如果該定時(shí)計(jì)數(shù)器用MCU芯片外部電路實(shí)現(xiàn),則為硬件看門狗,如果該定時(shí)計(jì)數(shù)器用MCU芯片內(nèi)部定時(shí)器/計(jì)數(shù)器實(shí)現(xiàn),則稱為軟件看門狗。 本設(shè)計(jì)采用基于CD4060的硬件看門狗電路,如圖4.12所示,下面介紹電路的組成及其工作原理。看門狗電路由14位二進(jìn)制計(jì)數(shù)器CD4060和三極管Q1、Q2組成。Q29014CQ19012GR72KR82KR910KR104K7R11300C2100U/25VC03104+5VWG電壓輸入Q121Q132Q143Q64Q55Q76Q47GND8VCC16Q1015Q814Q913RST12CINR11

51、COUR10COUC9U3CD4060R1210KR1347KC04103(M)C05103R14100KR153KC310U/16VZD15V6+12VMCWG圖4.12 看門狗電路單片機(jī) AT89C51的CPU向看門狗電路發(fā)送喂狗信號(hào)正脈沖,由P1.7口(MC)輸出,在兩個(gè)正脈沖間隔內(nèi),P1.7保持為低電平。14位二進(jìn)制計(jì)數(shù)器CD4060的計(jì)數(shù)脈沖由其內(nèi)部振蕩器和外接阻容元件R12、C04組成的電路產(chǎn)生,R13用于改善振蕩器的穩(wěn)定性,R13一般取2倍的R12到10倍的R12之間。振蕩周期為 T0SC=2.2*R12*C04=2.2*104*104*10(-12)=0.22ms;振蕩器產(chǎn)生的

52、計(jì)數(shù)脈沖(矩形波)可以直接引出,同時(shí)還可以從CD4060的10個(gè)輸出端Q4Q10和Q12Q14得到不同分頻系數(shù)的方波輸出。Q13輸出端的周期大致為0.9s。如果CD4060得不到CPU通過(guò)P1.7口發(fā)送來(lái)的喂狗信號(hào)正脈沖,則CD4060的輸出端Q13在0.9s內(nèi)將產(chǎn)生一個(gè)完整周期的方波信號(hào),單片機(jī)AT89C51正常工作時(shí),只要在0.45s內(nèi)從P1.7口送出一個(gè)正脈沖,便可及時(shí)清零看門狗,輸出端Q13就不會(huì)產(chǎn)生定時(shí)溢出信號(hào),從而使看門狗電路對(duì)單片機(jī)系統(tǒng)不起作用。當(dāng)單片機(jī)工作不正常時(shí),P1.7口輸出就會(huì)失常,從而CD4060的Q13口就會(huì)輸出周期0.9s的方波信號(hào),當(dāng)輸出為高電平時(shí),Q1截止,Q2導(dǎo)通,電源停止對(duì)系統(tǒng)供電,單片機(jī)系統(tǒng)停止工作,0.45s后CD4060的輸出為高

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論