基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)(畢業(yè)設(shè)計(jì))_第1頁(yè)
基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)(畢業(yè)設(shè)計(jì))_第2頁(yè)
基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)(畢業(yè)設(shè)計(jì))_第3頁(yè)
基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)(畢業(yè)設(shè)計(jì))_第4頁(yè)
基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)(畢業(yè)設(shè)計(jì))_第5頁(yè)
已閱讀5頁(yè),還剩26頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、畢業(yè)設(shè)計(jì)論文題目:基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)學(xué) 校: 西 北 民 族 大 學(xué) 學(xué) 院: 電 氣 工 程 學(xué) 院 專(zhuān)業(yè)班級(jí):08電氣工程及其自動(dòng)化(1)班 學(xué) 號(hào): p 0 8 1 6 1 3 3 1 6 姓 名: 蘇 軍 指導(dǎo)老師: 馬 慧 蘭 基于51單片機(jī)的lcd多功能數(shù)字音樂(lè)盒設(shè)計(jì)摘要:本設(shè)計(jì)是應(yīng)用mcs-51單片機(jī)原理和控制理論設(shè)計(jì)音樂(lè)盒演奏控制器的硬件電路,并利用匯編語(yǔ)言進(jìn)行程序設(shè)計(jì)。利用控制單片機(jī)內(nèi)部的定時(shí)器來(lái)產(chǎn)生不同的頻率的方波,驅(qū)動(dòng)喇叭發(fā)出不一樣的音樂(lè),再通過(guò)延遲來(lái)控制發(fā)音時(shí)間的長(zhǎng)短。把樂(lè)譜變成相應(yīng)的定時(shí)常數(shù)就可以從發(fā)音設(shè)備中演奏出動(dòng)聽(tīng)的音樂(lè)。這種控制電路結(jié)構(gòu)簡(jiǎn)

2、單,可用性高,應(yīng)運(yùn)性強(qiáng),軟件程序適應(yīng)范圍廣,對(duì)于不同的音樂(lè)只需要改變相應(yīng)的定時(shí)常數(shù)即可。對(duì)單片機(jī)和音樂(lè)愛(ài)好者有不一樣的借鑒價(jià)值。關(guān)鍵詞:?jiǎn)纹瑱C(jī),匯編語(yǔ)言,音樂(lè)盒based on 51 single-chip lcd multifunction digital music box designabstract: this design is the application of mcs-51 single-chip microcomputer principle and control theory design music box play hardware circuit, and use

3、assembly languages program design. using single chip microcomputer control internal timer to produce a different frequency of square wave, drive speaker different music, again through the delay to control the length of time the pronunciation. the score into the corresponding time constant can play o

4、ut in pronunciation equipment from beautiful music. this control circuit structure is simple, usability is high, the times the gender is strong, software program to adapt to the wide, for different music only need to change the timing of corresponding constant can. the scm and music lovers have diff

5、erent reference value.key words: a single-chip microcomputer, assembly language, music box目錄摘要2關(guān)鍵詞2abstract2key words2前言4第一章 原理及硬件介紹511 基本原理簡(jiǎn)述512 stc89c52rc 介紹6121主要特性6122 stc89c52rc的工作模式7123 stc89c52rc引腳功能說(shuō)明713 lcd顯示11第二章 軟件仿真及測(cè)試12開(kāi)機(jī)第一首歌12下一曲13上一曲13元件清單16參考文獻(xiàn)16附錄一 源程序17前言單片機(jī)是指一個(gè)集成在一塊芯片上的完整計(jì)算機(jī)系統(tǒng)。盡管他

6、的大部分功能集成在一塊小芯片上,但是它具有一個(gè)完整計(jì)算機(jī)所需要的大部分部件:cpu、內(nèi)存、內(nèi)部和外部總線系統(tǒng),目前大部分還會(huì)具有外存。同時(shí)集成諸如通訊接口、定時(shí)器,實(shí)時(shí)時(shí)鐘等外圍設(shè)備。而現(xiàn)在最強(qiáng)大的單片機(jī)系統(tǒng)甚至可以將聲音、圖像、網(wǎng)絡(luò)、復(fù)雜的輸入輸出系統(tǒng)集成在一塊芯片上。單片機(jī)也被稱(chēng)為微控制器(microcontroller),是因?yàn)樗钤绫挥迷诠I(yè)控制領(lǐng)域。單片機(jī)由芯片內(nèi)僅有cpu的專(zhuān)用處理器發(fā)展而來(lái)。最早的設(shè)計(jì)理念是通過(guò)將大量外圍設(shè)備和cpu集成在一個(gè)芯片中,使計(jì)算機(jī)系統(tǒng)更小,更容易集成進(jìn)復(fù)雜的而對(duì)提及要求嚴(yán)格的控制設(shè)備當(dāng)中。intel的z80是最早按照這種思想設(shè)計(jì)出的處理器,從此以后,單

7、片機(jī)和專(zhuān)用處理器的發(fā)展便分道揚(yáng)鑣。早期的單片機(jī)都是8位或4位的。其中最成功的是intel的8031,因?yàn)楹?jiǎn)單可靠而性能不錯(cuò)獲得了很大的好評(píng)。此后在8031上發(fā)展出了mcs51系列單片機(jī)系統(tǒng)。基于這一系統(tǒng)的單片機(jī)系統(tǒng)直到現(xiàn)在還在廣泛使用。隨著工業(yè)控制領(lǐng)域要求的提高,開(kāi)始出現(xiàn)了16位單片機(jī),但因?yàn)樾詢(xún)r(jià)比不理想并未得到很廣泛的應(yīng)用。90年代后隨著消費(fèi)電子產(chǎn)品大發(fā)展,單片機(jī)技術(shù)得到了巨大的提高。隨著intel i960系列特別是后來(lái)的arm系列的廣泛應(yīng)用,32位單片機(jī)迅速取代16位單片機(jī)的高端地位,并且進(jìn)入主流市場(chǎng)。而傳統(tǒng)的8位單片機(jī)的性能也得到了飛速提高,處理能力比起80年代提高了數(shù)百倍。目前,高端

8、的32位單片機(jī)主頻已經(jīng)超過(guò)300mhz,性能直追90年代中期的專(zhuān)用處理器,而普通的型號(hào)出廠價(jià)格跌落至1美元,最高端的型號(hào)也只有10美元。當(dāng)代單片機(jī)系統(tǒng)已經(jīng)不再只在裸機(jī)環(huán)境下開(kāi)發(fā)和使用,大量專(zhuān)用的嵌入式操作系統(tǒng)被廣泛應(yīng)用在全系列的單片機(jī)上。而在作為掌上電腦和手機(jī)核心處理的高端單片機(jī)甚至可以直接使用專(zhuān)用的windows和linux操作系統(tǒng)。單片機(jī)比專(zhuān)用處理器更適合應(yīng)用于嵌入式系統(tǒng),因此它得到了最多的應(yīng)用。事實(shí)上單片機(jī)是世界上數(shù)量最多的計(jì)算機(jī)?,F(xiàn)代人類(lèi)生活中所用的幾乎每件電子和機(jī)械產(chǎn)品中都會(huì)集成有單片機(jī)。手機(jī)、電話、計(jì)算器、家用電器、電子玩具、掌上電腦以及鼠標(biāo)等電腦配件中都配有1-2部單片機(jī)。而個(gè)人

9、電腦中也會(huì)有為數(shù)不少的單片機(jī)在工作。汽車(chē)上一般配備40多部單片機(jī),復(fù)雜的工業(yè)控制系統(tǒng)上甚至可能有數(shù)百臺(tái)單片機(jī)在同時(shí)工作!單片機(jī)的數(shù)量不僅遠(yuǎn)超過(guò)pc機(jī)和其他計(jì)算的綜合,甚至比人類(lèi)的數(shù)量還要多。單片機(jī)又稱(chēng)單片微控制器,它不是完成某一個(gè)邏輯功能的芯片,而是把一個(gè)計(jì)算機(jī)系統(tǒng)集成到一個(gè)芯片上。概括的講:一塊芯片就成了一臺(tái)計(jì)算機(jī)。它的體積小、質(zhì)量輕、價(jià)格便宜、為學(xué)習(xí)、應(yīng)用和開(kāi)發(fā)提供了便利條件。同時(shí),學(xué)習(xí)使用單片機(jī)是了解計(jì)算機(jī)原理與結(jié)構(gòu)的最佳選擇。單片機(jī)內(nèi)部也用和電腦功能類(lèi)似的模塊,比如cpu,內(nèi)存,并行總線,還有和硬盤(pán)作用相同的存儲(chǔ)器件,不同的是它的這些部件性能都相對(duì)我們的家用電腦弱很多,不過(guò)價(jià)錢(qián)也是低的

10、,一般不超過(guò)10元即可.用它來(lái)做一些控制電器一類(lèi)不是很復(fù)雜的工作足矣了。我們現(xiàn)在用的全自動(dòng)滾筒洗衣機(jī)、排煙罩、vcd等等的家電里面都可以看到它的身影!它主要是作為控制部分的核心部件。 它是一種在線式實(shí)時(shí)控制計(jì)算機(jī),在線式就是現(xiàn)場(chǎng)控制,需要的是有較強(qiáng)的抗干擾能力,較低的成本,這也是和離線式計(jì)算機(jī)的(比如家用pc)的主要區(qū)別。 單片機(jī)是靠程序的,并且可以修改。通過(guò)不同的程序?qū)崿F(xiàn)不同的功能,尤其是特殊的獨(dú)特的一些功能,這是別的器件需要費(fèi)很大力氣才能做到的,有些則是花大力氣也很難做到的。一個(gè)不是很復(fù)雜的功能要是用美國(guó)50年代開(kāi)發(fā)的74系列,或者60年代的cd4000系列這些純硬件來(lái)搞定的話,電路一定是

11、一塊大pcb板!但是如果要是用美國(guó)70年代成功投放市場(chǎng)的系列單片機(jī),結(jié)果就會(huì)有天壤之別!只因?yàn)閱纹瑱C(jī)的通過(guò)你編寫(xiě)的程序可以實(shí)現(xiàn)高智能,高效率,以及高可靠性! 由于單片機(jī)對(duì)成本是敏感的,所以目前占統(tǒng)治地位的軟件還是最低級(jí)匯編語(yǔ)言,它是除了二進(jìn)制機(jī)器碼以上最低級(jí)的語(yǔ)言了,既然這么低級(jí)為什么還要用呢?很多高級(jí)的語(yǔ)言已經(jīng)達(dá)到了可視化編程的水平為什么不用呢?原因很簡(jiǎn)單,就是單片機(jī)沒(méi)有家用計(jì)算機(jī)那樣的cpu,也沒(méi)有像硬盤(pán)那樣的海量存儲(chǔ)設(shè)備。一個(gè)可視化高級(jí)語(yǔ)言編寫(xiě)的小程序里面即使只有一個(gè)按鈕,也會(huì)達(dá)到幾十k的尺寸!對(duì)于家用pc的硬盤(pán)來(lái)講沒(méi)什么,可是對(duì)于單片機(jī)來(lái)講是不能接受的。 單片機(jī)在硬件資源方面的利用率必

12、須很高才行,所以匯編雖然原始卻還是在大量使用。一樣的道理,如果把巨型計(jì)算機(jī)上的操作系統(tǒng)和應(yīng)用軟件拿到家用pc上來(lái)運(yùn)行,家用pc的也是承受不了的。可以說(shuō),二十世紀(jì)跨越了三個(gè)“電”的時(shí)代,即電氣時(shí)代、電子時(shí)代和現(xiàn)已進(jìn)入的電腦時(shí)代。不過(guò),這種電腦,通常是指?jìng)€(gè)人計(jì)算機(jī),簡(jiǎn)稱(chēng)pc機(jī)。它由主機(jī)、鍵盤(pán)、顯示器等組成。還有一類(lèi)計(jì)算機(jī),大多數(shù)人卻不怎么熟悉。這種計(jì)算機(jī)就是把智能賦予各種機(jī)械的單片機(jī)(亦稱(chēng)微控制器)。顧名思義,這種計(jì)算機(jī)的最小系統(tǒng)只用了一片集成電路,即可進(jìn)行簡(jiǎn)單運(yùn)算和控制。因?yàn)樗w積小,通常都藏在被控機(jī)械的“肚子”里。它在整個(gè)裝置中,起著有如人類(lèi)頭腦的作用,它出了毛病,整個(gè)裝置就癱瘓了。現(xiàn)在,這種

13、單片機(jī)的使用領(lǐng)域已十分廣泛,如智能儀表、實(shí)時(shí)工控、通訊設(shè)備、導(dǎo)航系統(tǒng)、家用電器等。各種產(chǎn)品一旦用上了單片機(jī),就能起到使產(chǎn)品升級(jí)換代的功效,常在產(chǎn)品名稱(chēng)前冠以形容詞“智能型”,如智能型洗衣機(jī)等。現(xiàn)在有些工廠的技術(shù)人員或其它業(yè)余電子開(kāi)發(fā)者搞出來(lái)的某些產(chǎn)品,不是電路太復(fù)雜,就是功能太簡(jiǎn)單且極易被仿制。究其原因,可能就卡在產(chǎn)品未使用單片機(jī)或其它可編程邏輯器件上。第一章 原理及硬件介紹11 基本原理簡(jiǎn)述聲音是通過(guò)振動(dòng)產(chǎn)生的。單片機(jī)對(duì)某一引腳以一定的頻率循環(huán)置1置0,該引腳便產(chǎn)生一定頻率的方波,方波通過(guò)放大,作用于一定的物理實(shí)件(蜂鳴器),就產(chǎn)生了一定頻率的聲音。若改變輸出方波的頻率,產(chǎn)生的聲音隨之改變。

14、通過(guò)控制輸出方波的時(shí)間長(zhǎng)短,聲音的長(zhǎng)短也可以得到控制,因此,根據(jù)樂(lè)譜,以類(lèi)似的音及同樣的節(jié)拍,單片機(jī)就可以產(chǎn)生電子音樂(lè)。音樂(lè)的播放選擇可以通過(guò)按鍵的輸入得以實(shí)現(xiàn)。為簡(jiǎn)便起見(jiàn),以一定的頻率方波產(chǎn)生的音在其每個(gè)周期內(nèi)高低幅值得時(shí)間各占一半因此,輸出引腳在每個(gè)方波周期內(nèi)要?jiǎng)幼鲀纱危阂淮紊?,一次降低。即輸出引腳的頻率是原音頻率的兩倍。方波的產(chǎn)生由定時(shí)器控制。定時(shí)器t0工作在定時(shí)方式1,改變th0及tl0,產(chǎn)生不同的音頻頻率。必須考慮到中斷響應(yīng)時(shí)間的影響,尤其在高音部分,若忽略中斷響應(yīng)時(shí)間,會(huì)使音頻頻率比標(biāo)準(zhǔn)值低幾十hz,相當(dāng)于1/4音程,很容易聽(tīng)出來(lái),對(duì)低音部分影響不大。一般中斷響應(yīng)時(shí)間為36個(gè)機(jī)器

15、周期,經(jīng)過(guò)反復(fù)試驗(yàn)取5個(gè)機(jī)器周期作為校正最為恰當(dāng),表1中所給的定時(shí)初值就是考慮中斷響應(yīng)后的定時(shí)常數(shù)。另外,為避免t1中斷可能引起雜音,應(yīng)將定時(shí)器t0中斷設(shè)為高優(yōu)先級(jí)。這樣編寫(xiě)出來(lái)的程序播放的音與標(biāo)準(zhǔn)音叉進(jìn)行差頻校音,非常準(zhǔn)確和諧。音樂(lè)播放器的基本硬件電路有六部分組成:?jiǎn)纹瑱C(jī)、時(shí)鐘與復(fù)位電路、選擇按鍵輸入電路、音頻發(fā)生器、音頻放大器和揚(yáng)聲器。音樂(lè)播放器硬件電路設(shè)計(jì)框圖如圖2.1所示。lcd液晶顯示屏顯示時(shí)鐘與復(fù)位電路單片機(jī)音頻發(fā)生器按鍵輸入歌曲選擇電路蜂鳴器音頻放大器圖2.1 音樂(lè)播放器硬件電路設(shè)計(jì)框圖利用單片機(jī)內(nèi)部定時(shí)器/計(jì)數(shù)器t0與p1.1引腳配合,構(gòu)成音頻發(fā)生器,與外置電路組成音頻放大器,

16、驅(qū)動(dòng)揚(yáng)聲器輸出。lcd液晶模塊以并行方式與微控制器相連,15腳接高電平為并行方式,通過(guò)送入指令和數(shù)據(jù),可對(duì)顯示方式和顯示內(nèi)容做出選擇。12 stc89c52rc 介紹stc89c52rc單片機(jī)是宏晶科技推出的新一代高速/低功耗/超強(qiáng)抗干擾的單片機(jī),指令代碼完全兼容傳統(tǒng)8051單片機(jī),12時(shí)鐘/機(jī)器周期和6時(shí)鐘/機(jī)器周期可以任意選擇。121主要特性1.增強(qiáng)型8051單片機(jī),6時(shí)鐘/機(jī)器周期和12時(shí)鐘/機(jī)器周期可以任意選擇,指令代碼完全兼容傳統(tǒng)8051.2.工作電壓:5.5v3.3v(5v單片機(jī))/3.8v2.0v(3v單片機(jī))3.工作頻率范圍:040mhz,相當(dāng)于普通8051的080mhz,實(shí)際

17、工作頻率可達(dá)48mhz4.用戶(hù)應(yīng)用程序空間為8k字節(jié)5.片上集成512字節(jié)ram6.通用i/o口(32個(gè)),復(fù)位后為:p1/p2/p3/p4是準(zhǔn)雙向口/弱上拉,p0口是漏極開(kāi)路輸出,作為總線擴(kuò)展用時(shí),不用加上拉電阻,作為i/o口用時(shí),需加上拉電阻。7.isp(在系統(tǒng)可編程)/iap(在應(yīng)用可編程),無(wú)需專(zhuān)用編程器,無(wú)需專(zhuān)用仿真器,可通過(guò)串口(rxd/p3.0,txd/p3.1)直接下載用戶(hù)程序,數(shù)秒即可完成一片8.具有eeprom功能9.具有看門(mén)狗功能10.共3個(gè)16位定時(shí)器/計(jì)數(shù)器。即定時(shí)器t0、t1、t211.外部中斷4路,下降沿中斷或低電平觸發(fā)電路,power down模式可由外部中斷低

18、電平觸發(fā)中斷方式喚醒12.通用異步串行口(uart),還可用定時(shí)器軟件實(shí)現(xiàn)多個(gè)uart13.工作溫度范圍:-40+85(工業(yè)級(jí))/075(商業(yè)級(jí))14.pdip封裝122 stc89c52rc的工作模式u 掉電模式:典型功耗0.1a,可由外部中斷喚醒,中斷返回后,繼續(xù)執(zhí)行原程序u 空閑模式:典型功耗2mau 正常工作模式:典型功耗4ma7mau 掉電模式可由外部中斷喚醒,適用于水表、氣表等電池供電系統(tǒng)及便攜設(shè)備123 stc89c52rc引腳功能說(shuō)明stc89c52rc引腳圖vcc(40引腳):電源電壓vss(20引腳):接地p0端口(p0.0p0.7,3932引腳):p0口是一個(gè)漏極開(kāi)路的8

19、位雙向i/o口。作為輸出端口,每個(gè)引腳能驅(qū)動(dòng)8個(gè)ttl負(fù)載,對(duì)端口p0寫(xiě)入“1”時(shí),可以作為高阻抗輸入。在訪問(wèn)外部程序和數(shù)據(jù)存儲(chǔ)器時(shí),p0口也可以提供低8位地址和8位數(shù)據(jù)的復(fù)用總線。此時(shí),p0口內(nèi)部上拉電阻有效。在flash rom編程時(shí),p0端口接收指令字節(jié);而在校驗(yàn)程序時(shí),則輸出指令字節(jié)。驗(yàn)證時(shí),要求外接上拉電阻。p1端口(p1.0p1.7,18引腳):p1口是一個(gè)帶內(nèi)部上拉電阻的8位雙向i/o口。p1的輸出緩沖器可驅(qū)動(dòng)(吸收或者輸出電流方式)4個(gè)ttl輸入。對(duì)端口寫(xiě)入1時(shí),通過(guò)內(nèi)部的上拉電阻把端口拉到高電位,這是可用作輸入口。p1口作輸入口使用時(shí),因?yàn)橛袃?nèi)部上拉電阻,那些被外部拉低的引腳

20、會(huì)輸出一個(gè)電流(iil)。此外,p1.0和p1.1還可以作為定時(shí)器/計(jì)數(shù)器2的外部技術(shù)輸入(p1.0/t2)和定時(shí)器/計(jì)數(shù)器2的觸發(fā)輸入(p1.1/t2ex),具體參見(jiàn)下表:在對(duì)flash rom編程和程序校驗(yàn)時(shí),p1接收低8位地址。表1 p1.0和p1.1引腳復(fù)用功能引腳號(hào)功能特性p1.0t2(定時(shí)器/計(jì)數(shù)器2外部計(jì)數(shù)輸入),時(shí)鐘輸出p1.1t2ex(定時(shí)器/計(jì)數(shù)器2捕獲/重裝觸發(fā)和方向控制)p2端口(p2.0p2.7,2128引腳):p2口是一個(gè)帶內(nèi)部上拉電阻的8位雙向i/o端口。p2的輸出緩沖器可以驅(qū)動(dòng)(吸收或輸出電流方式)4個(gè)ttl輸入。對(duì)端口寫(xiě)入1時(shí),通過(guò)內(nèi)部的上拉電阻把端口拉到高電

21、平,這時(shí)可用作輸入口。p2作為輸入口使用時(shí),因?yàn)橛袃?nèi)部的上拉電阻,那些被外部信號(hào)拉低的引腳會(huì)輸出一個(gè)電流(iil)。在訪問(wèn)外部程序存儲(chǔ)器和16位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行“movx dptr”指令)時(shí),p2送出高8位地址。在訪問(wèn)8位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行“movx r1”指令)時(shí),p2口引腳上的內(nèi)容(就是專(zhuān)用寄存器(sfr)區(qū)中的p2寄存器的內(nèi)容),在整個(gè)訪問(wèn)期間不會(huì)改變。在對(duì)flash rom編程和程序校驗(yàn)期間,p2也接收高位地址和一些控制信號(hào)。p3端口(p3.0p3.7,1017引腳):p3是一個(gè)帶內(nèi)部上拉電阻的8位雙向i/o端口。p3的輸出緩沖器可驅(qū)動(dòng)(吸收或輸出電流方式)4個(gè)t

22、tl輸入。對(duì)端口寫(xiě)入1時(shí),通過(guò)內(nèi)部的上拉電阻把端口拉到高電位,這時(shí)可用作輸入口。p3做輸入口使用時(shí),因?yàn)橛袃?nèi)部的上拉電阻,那些被外部信號(hào)拉低的引腳會(huì)輸入一個(gè)電流(iil)。在對(duì)flash rom編程或程序校驗(yàn)時(shí),p3還接收一些控制信號(hào)。p3口除作為一般i/o口外,還有其他一些復(fù)用功能,如下表所示:表2 p3口引腳復(fù)用功能引腳號(hào)復(fù)用功能p3.0rxd(串行輸入口)p3.1txd(串行輸出口)p3.2int0(外部中斷0)p3.3int1(外部中斷1)p3.4t0(定時(shí)器0的外部輸入)p3.5t1(定時(shí)器1的外部輸入)p3.6wr(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通)p3.7rd(外部數(shù)據(jù)存儲(chǔ)器讀選通)rst(

23、9引腳):復(fù)位輸入。當(dāng)輸入連續(xù)兩個(gè)機(jī)器周期以上高電平時(shí)為有效,用來(lái)完成單片機(jī)單片機(jī)的復(fù)位初始化操作??撮T(mén)狗計(jì)時(shí)完成后,rst引腳輸出96個(gè)晶振周期的高電平。特殊寄存器auxr(地址8eh)上的disrto位可以使此功能無(wú)效。disrto默認(rèn)狀態(tài)下,復(fù)位高電平有效。ale/prog(30引腳):地址鎖存控制信號(hào)(ale)是訪問(wèn)外部程序存儲(chǔ)器時(shí),鎖存低8位地址的輸出脈沖。在flash編程時(shí),此引腳(prog)也用作編程輸入脈沖。在一般情況下,ale以晶振六分之一的固定頻率輸出脈沖,可用來(lái)作為外部定時(shí)器或時(shí)鐘使用。然而,特別強(qiáng)調(diào),在每次訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),ale脈沖將會(huì)跳過(guò)。如果需要,通過(guò)將地址位

24、8eh的sfr的第0位置“1”,ale操作將無(wú)效。這一位置“1”,ale僅在執(zhí)行movx或mov指令時(shí)有效。否則,ale將被微弱拉高。這個(gè)ale使能標(biāo)志位(地址位8eh的sfr的第0位)的設(shè)置對(duì)微控制器處于外部執(zhí)行模式下無(wú)效。psen(29引腳):外部程序存儲(chǔ)器選通信號(hào)(psen)是外部程序存儲(chǔ)器選通信號(hào)。當(dāng)at89c51rc從外部程序存儲(chǔ)器執(zhí)行外部代碼時(shí),psen在每個(gè)機(jī)器周期被激活兩次,而訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),psen將不被激活。ea/vpp(31引腳):訪問(wèn)外部程序存儲(chǔ)器控制信號(hào)。為使能從0000h到ffffh的外部程序存儲(chǔ)器讀取指令,ea必須接gnd。注意加密方式1時(shí),ea將內(nèi)部鎖定位

25、reset。為了執(zhí)行內(nèi)部程序指令,ea應(yīng)該接vcc。在flash編程期間,ea也接收12伏vpp電壓。xtal1(19引腳):振蕩器反相放大器和內(nèi)部時(shí)鐘發(fā)生電路的輸入端。xtal2(18引腳):振蕩器反相放大器的輸入端。特殊功能寄存器在stc89c52rc片內(nèi)存儲(chǔ)器中,80hffh共128個(gè)單元位特殊功能寄存器(sfr),sfr的地址空間如下表1所示。并非所有的地址都被定義,從80hffh共128個(gè)字節(jié)只有一部分被定義。還有相當(dāng)一部分沒(méi)有定義。對(duì)沒(méi)有定義的單元讀寫(xiě)將是無(wú)效的,讀出的數(shù)值將不確定,而寫(xiě)入的數(shù)據(jù)也將丟失。不應(yīng)將“1”寫(xiě)入未定義的單元,由于這些單元在將來(lái)的產(chǎn)品中可能賦予新的功能,在這

26、種情況下,復(fù)位后這些單元數(shù)值總是“0”。stc89c52rc除了有定時(shí)器/計(jì)數(shù)器0和定時(shí)器/計(jì)數(shù)器1之外,還增加了一個(gè)一個(gè)定時(shí)器/計(jì)數(shù)器2.定時(shí)器/計(jì)數(shù)器2的控制和狀態(tài)位位于t2con(見(jiàn)表2)和t2mod(見(jiàn)表4)。定時(shí)器2是一個(gè)16位定時(shí)/計(jì)數(shù)器。通過(guò)設(shè)置特殊功能寄存器t2con中的c/t2位,可將其作為定時(shí)器或計(jì)數(shù)器(特殊功能寄存器t2con的描述如表2所列)。定時(shí)器2有3種操作模式:捕獲、自動(dòng)重新裝載(遞增或遞減計(jì)數(shù))和波特率發(fā)生器,這3種模式由t2con中的位進(jìn)行選擇(如表3所列)表3 特殊功能寄存器t2con的描述表3 定時(shí)/計(jì)數(shù)器2控制寄存器各位功能說(shuō)明符號(hào)功能tf2定時(shí)器2溢出

27、標(biāo)志。定時(shí)器2溢出時(shí),又由硬件置位,必須由軟件請(qǐng)0.當(dāng)rclk=1或tclk=1時(shí),定時(shí)器2溢出,不對(duì)tf2置位。exf2定時(shí)器2外部標(biāo)志。當(dāng)exen2=1,且當(dāng)t2ex引腳上出現(xiàn)負(fù)跳變而出現(xiàn)捕獲或重裝載時(shí),exf2置位,申請(qǐng)中斷。此時(shí)如果允許定時(shí)器2中斷,cpu將響應(yīng)中斷,執(zhí)行定時(shí)器2 中斷服務(wù)程序,exf2必須由軟件清除。當(dāng)定時(shí)器2工作在向上或向下計(jì)數(shù)方式時(shí)(dcen=1),exf2不能激活中斷。rclk接收時(shí)鐘允許。rclk=1時(shí),用定時(shí)器2溢出脈沖作為串口(工作于工作方式1或3時(shí))的接收時(shí)鐘,rclk=0,用定時(shí)器1的溢出脈沖作為接收脈沖tclk發(fā)送時(shí)鐘允許。tclk=1時(shí),用定時(shí)器2

28、溢出脈沖作為串口(工作于工作方式1或3時(shí))的發(fā)送時(shí)鐘,tclk=0,用定時(shí)器1的溢出脈沖作為發(fā)送脈沖exen2定時(shí)器2外部允許標(biāo)志。當(dāng)exen2=1時(shí),如果定時(shí)器2未用于作串行口的波特率發(fā)生器,在t2ex端口出現(xiàn)負(fù)跳變脈沖時(shí),激活定時(shí)器2捕獲或者重裝載。exen2=0時(shí),t2ex端的外部信號(hào)無(wú)效。tr2定時(shí)器2啟動(dòng)/停止控制位。tr2=1時(shí),啟動(dòng)定時(shí)器2.c/t2定時(shí)器2定時(shí)方式或計(jì)數(shù)方式控制位。c/t2=0時(shí),選擇定時(shí)方式,c/t2=1時(shí),選擇對(duì)外部事件技術(shù)方式(下降沿觸發(fā))。cp/rl2捕獲/重裝載選擇。cp/rl2=1時(shí),如exen2=1,且t2ex端出現(xiàn)負(fù)跳變脈沖時(shí)發(fā)生捕獲操作。cp/

29、rl2=1時(shí),若定時(shí)器2溢出或exen2=1條件下,t2ex端出現(xiàn)負(fù)跳變脈沖,都會(huì)出現(xiàn)自動(dòng)重裝載操作。當(dāng)rclk=1或tclk=1時(shí),該位無(wú)效,在定時(shí)器2溢出時(shí)強(qiáng)制其自動(dòng)重裝載。表4 定時(shí)器2工作方式rclk+tclkcp/rl2tr2模式00116位自動(dòng)重裝01116位捕獲1x1波特率發(fā)生器xx0(關(guān)閉)13 lcd顯示字符型lcd是一種通常用5*7點(diǎn)陣圖形來(lái)顯示字符的液晶顯示器。能顯示的每個(gè)字符都有一個(gè)代碼,代碼對(duì)應(yīng)字符的點(diǎn)陣圖形數(shù)據(jù)由字符發(fā)生器產(chǎn)生,通過(guò)驅(qū)動(dòng)電路后在lcd顯示出字符。字符型lcd有11條操作指令。如圖2-2所示。圖2-2文字型lcd(162)字符型lcd由14個(gè)引腳組成功

30、能如表3-1所示。表3-1字符型lcd引腳功能表引腳號(hào)符號(hào)狀態(tài)功能1vs電源地2vdd正5v邏輯電源3vo液晶驅(qū)動(dòng)電源4rs輸入寄存器選擇:1.數(shù)據(jù);0.指令5r/w輸入讀、寫(xiě)操作選擇6e輸入使能信號(hào)7至14db0db7三態(tài)數(shù)據(jù)總線*15 e1輸入mdls40466上兩行使能信號(hào)*16e2輸入mdls40466下兩行使能信號(hào)lcd可以同步顯示歌曲的漢語(yǔ)拼音和播放時(shí)間。第二章 軟件仿真及測(cè)試開(kāi)機(jī)第一首歌開(kāi)機(jī)第一首歌為濟(jì)公下一曲下一曲為斷橋殘雪上一曲上一曲為愛(ài)情買(mǎi)賣(mài)一共有十一首歌曲可以播放愛(ài)情買(mǎi)賣(mài)、濟(jì)公、森林狂想曲、斷橋殘雪、青花瓷、茉莉花、豬八戒背媳婦、刀劍如夢(mèng)、兩只蝴蝶、生日快樂(lè)、新年好。硬件

31、電路圖仿真電路圖元件清單1、9x15cm萬(wàn)用板 1片2、stc89c52單片機(jī)+ic座 1套3、1602液晶顯示屏 1個(gè) 4、按鍵 3只5、12m晶振 1只6、33p瓷片電容 2只8、dc電源座 1只9、開(kāi)關(guān) 1只10、4.7k、電阻 1只11、精密可調(diào)電位器 1只12、單排座 1條13、單排針 1條14、蜂鳴器 1只15、排阻10k 1只16、ss8550三極管 1只17、10k 電阻 1只18、22u 電解電容 1只19、usb電源線 1條20、導(dǎo)線 若干參考文獻(xiàn)【1】蔡朝洋. 單片機(jī)控制實(shí)習(xí)與專(zhuān)題制作 m 北京航空航天大學(xué)出版社,2006【2】林志琦. 單片機(jī)原理接口及應(yīng)用(c語(yǔ)言版)

32、m 北京:中國(guó)水利水電出版社,2007【3】李朝青. 單片機(jī)原理及接口技術(shù)(第3版)m 北京航空航天大學(xué)出版社,2005【4】李群芳,等. 單片微型計(jì)算機(jī)與接口技術(shù) m 電子工業(yè)出版社,2001【5】肖洪兵,等. 跟我學(xué)單片機(jī) m 北京航空航天大學(xué)出版社,2002【6】趙建領(lǐng).51系列單片機(jī)開(kāi)發(fā)寶典 m 電子工業(yè)出版社,2007【7】張迎新,等. 單片機(jī)初級(jí)教程 m 北京航空航天大學(xué)出版社,1999【8】馬忠梅,籍順心,張凱,馬巖.單片機(jī)的c語(yǔ)言應(yīng)用程序設(shè)計(jì) m 北京航空航天大學(xué)出版社,2003附錄一 源程序#include #include #define uchar unsigned ch

33、ar#define uint unsigned int uchar m,n; uchar i,timecount=0,timesec=0,timemin=0,timecheck=0; char r0=2; sbit beepio=p21;typedef unsigned char byte;typedef unsigned int word;typedef bit bool ; sbit rs= p16;/sbit rw = p17;/rwsbit ep = p27;/lode sbit pausekey=p11;uchar k,q,f;uchar code dis1 =ai qing mai

34、 mai;/ uchar code dis2 = ji gong;uchar code dis3 = duan qiao can ;/17uchar code dis33 = xue ; uchar code dis4 = dao jian ru meng;uchar code dis5 =liang zhi hu die ; uchar code dis6 = muo li hua;uchar code dis7 =qing hua ci ; uchar code dis8 = sheng lin kuang ;/uchar code dis88 = xiang qu ;uchar code

35、 dis9 =sheng ri kuai le ; /16 uchar code dis10 = xing nian hao ;/14uchar code dis11 =zhu ba jie qu ;uchar code dis1111 = xi fu ;uchar code dis222 = welcome! ; uchar code t492=0,0,0xf8,0x8b,0xf8,0xf2,0xf9,0x5b,0xf9,0xb7,0xfa,0x14,0xfa,0x66,0xfa,0xb9,0xfb,0x03,0xfb,0x4a,0xfb,0x8f,0xfb,0xcf,0xfc,0x0b,0

36、xfc,0x43,0xfc,0x78,0xfc,0xab,0xfc,0xdb,0xfd,0x08,0xfd,0x33,0xfd,0x5b,0xfd,0x81,0xfd,0xa5,0xfd,0xc7,0xfd,0xe7,0xfe,0x05,0xfe,0x21,0xfe,0x3c,0xfe,0x55,0xfe,0x6d,0xfe,0x84,0xfe,0x99,0xfe,0xad,0xfe,0xc0,0xfe,0x02,0xfe,0xe3,0xfe,0xf3,0xff,0x02,0xff,0x10,0xff,0x1d,0xff,0x2a,0xff,0x36,0xff,0x42,0xff,0x4c,0

37、xff,0x56,0xff,0x60,0xff,0x69,0xff,0x71,0xff,0x79,0xff,0x81;uchar code music12=0,4, / 1愛(ài)情買(mǎi)賣(mài)22,4,22,4,17,4,15,4,15,4,17,12,15,4,15,2,17,2,15,4,13,4,13,4,15,12,0,4,20,4,20,4,20,4,17,4,20,4,20,4,20,4,17,4,22,4,17,4,17,4,15,4,15,4,17,12,22,4,22,4,17,4,15,4,15,4,17,12,15,4,15,2,17,2,15,4,13,4,13,4,15,12,2

38、0,4,20,4,20,2,17,2,17,4,20,4,20,4,20,2,17,2,17,2,17,2,24,4,20,4,20,2,17,2,17,4,20,4,22,12,17,4,22,4,25,4,25,4,17,4,22,4,25,8,24,4,22,2,24,2,22,4,20,4,15,4,17,12,15,4,15,4,15,4,10,4,15,4,17,4,20,8,17,4,24,4,24,4,20,4,15,4,17,12,17,4,22,4,25,4,25,4,17,4,22,4,25,8,29,4,27,2,29,2,27,4,25,4,25,4,27,12,29

39、,4,29,2,27,4,25,4,27,6,27,2,25,4,24,4,20,4,20,2,17,2,20,4,20,2,22,2,22,16,0xff,0xff;uchar code music22=0,4, /2濟(jì)公/*鞋兒破*/16,6,19,2,19,6,16,2,14,6,0,10,16,6,19,2,19,6,16,2,14,8,0,8,26,8,21,6,24,2,23,6,21,2,19,8,21,16,0,8,/*你笑我*/16,6,19,2,19,6,16,2,14,8,0,8,26,8,21,6,23,2,21,14,/*一把扇兒*/24,6,23,2,21,6,19

40、,2,16,6,14,2,13,8,14,16,0,4,/*南無(wú)阿彌陀佛*/19,6,19,2,21,6,21,2,23,8,21,4,0,4,19,6,19,2,16,6,19,2,16,8,14,6,0,4,19,6,19,2,21,6,21,2,23,8,21,4,0,4,19,6,19,2,16,6,19,2,16,8,14,6,0,4,26,16,21,4,26,4,21,4,26,16,21,4,26,16,0,4,/*無(wú)煩無(wú)惱*/16,8,16,8,14,8,16,8,21,4,26,4,21,4,26,4,0,8,16,8,16,8,14,8,16,8,21,4,26,4,21

41、,4,26,4,0,8,/走啊走樂(lè)啊樂(lè)19,8,19,6,21,2,16,8,0,8,19,6,21,2,19,6,21,2,16,8,0,8,/哪有不平哪有我21,8,26,8,21,4,24,12,23,6,21,2,19,8,21,16,/哪有不平哪有我14,4,21,4,14,4,19,4,16,6,14,2,13,8,14,16,0xff,0xff; uchar code music32=0,4,/3斷橋殘雪27,4,19,4,19,4,27,4,26,4,26,2,27,2,24,8,22,4,17,4,24,4,22,4,19,16,15,4,12,4,12,4,15,4,17,

42、8,19,8,26,6,26,2,26,4,24,4,24,4,19,4,19,8,27,4,19,4,19,4,27,4,26,4,26,2,27,2,24,6,24,2,22,4,17,4,24,4,22,4,19,16,15,4,12,4,12,4,15,4,17,8,19,8,19,6,19,2,19,4,17,4,14,4,14,4,14,4,7,4,12,24,0xff,0xff;uchar code music42=0,4,/4刀劍如夢(mèng)16,12,23,16,21,4,23,4,21,4,19,12,0,8,19,4,19,4,19,4,18,4,16,8,14,4,16,16,

43、0,16,16,12,28,16,26,4,28,4,26,4,23,8,0,16,21,4,21,4,21,4,21,4,19,8,16,4,18,16,0,12,16,12,23,16,21,4,23,4,21,4,19,8,0,16,19,4,19,4,19,4,18,4,16,8,14,4,16,16,0,12,16,12,28,16,26,4,28,4,26,4,23,16,0,12,28,4,28,4,28,4,30,4,28,8,26,4,28,24,0,12,28,4,28,2,26,2,23,4,23,4,28,4,28,2,26,2,28,4,31,4,26,6,23,2,

44、26,4,28,4,26,16,21,4,21,2,19,2,16,4,16,4,21,4,21,2,19,2,21,4,23,4,19,6,16,2,19,4,16,4,14,16,28,4,28,2,26,2,23,4,23,4,28,4,28,2,26,2,28,4,28,4,31,4,31,2,28,2,26,4,26,4,31,4,31,2,26,2,31,4,31,4,0,4,31,4,31,4,31,4,30,4,28,8,26,4,28,24,28,4,28,2,26,2,23,4,23,4,28,4,28,2,26,2,28,4,31,4,26,6,23,2,26,4,28,

45、4,26,16,21,4,21,2,19,2,16,4,16,4,21,4,21,2,19,2,21,4,23,4,19,6,16,2,19,4,16,4,14,16,28,4,28,2,26,2,23,4,23,4,28,4,28,2,26,2,28,4,28,4,31,4,31,2,28,2,26,4,26,4,31,4,31,2,26,2,31,4,31,4,0,4, 31,4,31,4,31,4,30,4,28,8,26,4,28,20, 0,4,31,4,31,4,31,4,30,4,28,8,26,4,28,24,0xff,0xff;uchar code music52=0,4,

46、/5兩只蝴蝶23,4,21,4,23,16,23,4,21,4,23,4,21,4,19,16,16,4,19,4,21,8,21,4,23,4,21,4,19,4,16,4,19,4,14,24,23,4,21,4,23,16,23,4,21,4,23,4,21,4,19,24,16,4,19,4,21,8,21,4,23,4,21,4,19,4,16,4,19,4,21,24,23,4,21,4,23,16,23,4,21,4,23,4,21,4,19,16,16,4,19,4,21,8,21,4,23,4,21,4,19,4,16,4,19,4,14,24,23,4,26,4,26,16

47、,26,4,28,4,26,4,23,24,21,4,23,4,21,8,21,4,23,4,21,4,19,4,16,4,16,2,19,2,19,24,0,20,26,4,26,4,28,4,31,4,30,4,30,4,28,4,23,4,21,4,21,4,23,16,0,4,23,4,23,4,26,4,28,8,28,12,16,4,23,4,21,4,21,24,23,4,26,4,26,4,23,4,26,8,0,4,31,8,30,4,28,4,30,4,23,8,0,4,28,4,28,4,30,4,28,4,26,4,23,4,21,8,23,4,21,4,23,4,26

48、,16,0xff,0xff;uchar code music62=0,4,/6茉莉花21,8,21,4,24,4,26,4,29,4,29,4,26,4,24,8,24,4,26,4,24,16,21,8,21,4,24,4,26,4,29,4,29,4,26,4,24,8,24,4,26,4,24,16,24,8,24,8,24,8,21,4,24,4,26,8,26,8,24,16,21,8,19,4,21,4,24,8,21,4,19,4,17,8,17,4,19,4,17,16,21,4,19,4,17,4,21,4,19,12,21,4,24,8,26,4,29,4,24,16,19,8,21,4,24,4,19,4,21,4,17,4,14,4,12,16,14,8,17,8,19,12,21,4,17,4,19,4,17,4,14,4,12,24,0,8,0xff,0xff;uchar code music72=0,4,/7青花瓷0,4,24,4,24,4,21,4,19,4,21,4,14,8,19,4,21,4,24,4,21

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論