EDA課程設計報告多功能數(shù)字時鐘_第1頁
EDA課程設計報告多功能數(shù)字時鐘_第2頁
EDA課程設計報告多功能數(shù)字時鐘_第3頁
EDA課程設計報告多功能數(shù)字時鐘_第4頁
EDA課程設計報告多功能數(shù)字時鐘_第5頁
已閱讀5頁,還剩27頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、eda課程設計報告 名稱:多功能數(shù)字時鐘指導教師:姓 名: 學 號:院 系:時 間: 摘要:本文是基于altera公司出品quartusii軟件以及相應的實驗平臺完成的多功能數(shù)字計時器實驗,使我們清楚地了解到我們身邊的數(shù)字表的功能是怎樣實現(xiàn)的。設計時采用了層次設計思想,功能逐級遞加,并在封裝時留有很多外圍接口,以備增加其他新功能。實驗主要包含三個主體-時鐘基本功能電路、鬧鐘電路、萬年歷電路。主體一:主要涉及模60與模24計數(shù)器、動態(tài)顯示控制電路、分頻器主要整點報時電路,這些電路都是以模塊封裝好的,以便其他電路調用。以計數(shù)器構成計時部件,通過分頻器分出的1hz脈沖計時,調用動態(tài)顯示電路顯示,通過

2、整點報時電路控制蜂鳴器。在計數(shù)器級聯(lián)時采用內部同步外部異步的方式,但通過簡單的改變達到了同步的效果而且比同步還可靠。顯示控制時為了節(jié)約資源采用動態(tài)原理,自編了vhdl語言的24選4數(shù)據(jù)選擇器。主體二:主要涉及模60與模24計數(shù)器、顯示控制電路、14-7同或門。以模60與模24計數(shù)器構成定時與存儲電路,調用動態(tài)顯示控制電路顯示,通過14-7同或門和后續(xù)與門控制蜂鳴器。主體三:主要涉及模100、模12與模30計數(shù)器、動態(tài)顯示電路。以計數(shù)器構成計時部件,調用動態(tài)顯示電路顯示。 最后由于功能中涉及的開關太多,我們采用了兩種復用原理,給出了兩種復用思想。 關鍵字:層次設計 多功能數(shù)字時鐘 同步 整點報時

3、 鬧鐘 萬年歷 vhdl語言 summary:this text is according to altera companys quartusii software and homologous experiment terrace completed multi-function number timer experiment, make us clearly understand the function of number form how carry out.while designing we adopted the layer designs thought, the funct

4、ion pursues class gradually increase, and stay a lot of outer circles to connect while sealing to pack to fully increase other new functions. the experiment mainly includes three corpuses-the clock basic function electric circuit, alarm clock electric circuit, ten thousand calendar electric circuits

5、.corpus one: mainly involve a mold 60 with mold 24 count machine, dynamic state to show a control electric circuit, cent repeatedly machine , a little bit whole tell the time electric circuit,these electric circuits are all packed with mold piece okay, for the purpose of other electric circuits adju

6、st to use. with count machine composing to account parts, pass a cent repeatedly the machine divides of when the 1 hz pulse accounts adjust to show that the electric circuit suggests and passes a little bit whole buzzer that tell the time an electric circuit control with the dynamic state. be counti

7、ng the way that the machine class adopted synchronously external different step in the inner part while uniting, but came to a synchronous effect through a simple change and than synchronously returned credibility. while showing a control for economizing a resources adoption dynamic state principle,

8、 from wove vhdl language of 24 choose 4 data selectors.corpus two: mainly involve a mold 60 with mold 24 count machine, show control electric circuit, 14-7 together or door. with mold 60 with mold 24 count machine composing in fixed time with saving electric circuit, adjust to show control electric

9、circuit to suggest with the dynamic state, pass 14-7 together or door and follow-up and door control buzzer.corpus three: mainly involve a mold 100, mold 12 with mold 30 count a machine, dynamic state to show electric circuit. with count the machine composing accounts parts, adjust to show that the

10、electric circuit shows with the dynamic state.finally because of too many switch involving in the function, we adopted 2 kinds to reply to use principle and gave 2 kinds reply to use thought.key word: the layer designs、multi-function digital clock、synchronous、 a little bit whole tell the time, alarm

11、 clock、 ten thousand calendars,、the language of vhdl目錄一 設計說明4i功能說明4ii功能簡介4iii.開關功能介紹(兩種方案)4二方案論證 5i.總體方案分析5ii. 電路的工作原理 6三 各子模塊設計原理 8 i脈沖分頻模塊 8 ii.時鐘計時模塊(包含校定和清零功能) 10 iii顯示控制電路14 iv.顯示模塊和計時模塊結合 18v.整點報時模塊 18vi.鬧鐘模塊 20vii.萬年歷模塊 24四 調試與仿真 27 i.脈沖發(fā)生模塊 27 ii計時電路 28iii.仿真 29五結論29六實驗感想 30一 設計說明i功能說明:利用qua

12、rtusii軟件設計一個數(shù)字鐘,對設計電路進行功能仿真,并下載到smartsopc實驗系統(tǒng)中,可以完成00:00:00到23:59:59的計時功能,并在控制電路的作用下具有保持、清零、快速校時、快速校分、整點報時等功能。本電路在原有基礎上進行了拓展,具備以下功能:. 能進行正常的時、分、秒計時功能; 鎖死功能-鎖死狀態(tài)下時鐘保持不變;. 整點報時功能-當時鐘計到5953”時開始報時,在5953”, 5955”,5957” 時報時頻率為512hz,5959”時報時頻率為1khz, ;. 清零功能-時鐘計時電路和萬年歷電路; 快速校時,校分功能-校定時其他計時電路保持; 鬧表功能-定時精確到分,鬧

13、鐘設計響一分鐘,可關閉; 萬年歷-可顯示xx年xx月xx日,可快速校定到正確的日期;ii功能簡介 本電路具有三種不同的工作狀態(tài)(同步工作),可通過模式鍵進行切換(可通過led1、led2觀察當前的模式)。a、 正常計時狀態(tài)(k7、k8都為一):此狀態(tài)下數(shù)碼管顯示當前的時間,k1為校分開關,k2為校時開關,k4為清零開關,校分、校時都是以2hz的信號進行快速到位的。b、 鬧表狀態(tài)(k7=1、k8=0):此狀態(tài)下數(shù)碼管顯示當前鬧定的時間,k1為設定分鐘的開關,k2為設定小時開關,清零開關無用- k4。c、 萬年歷狀態(tài)(k7=x、k8=1):此狀態(tài)下數(shù)碼管顯示當前的年月日,k1為設定日期開關,k2為

14、設定月開關,k3為設定年開關、k4為清零開關。d、 鎖死狀態(tài)(k5=0):此狀態(tài)下所有電路都保持原狀態(tài)(不給電路送脈沖)。iii.開關功能介紹(兩種方案)a.簡單復用(方便,易操作,但開關太多7個)k1k2k3k4k5k7k801010101010101任意各種操作的開關狀態(tài)1鎖死狀態(tài)2校分狀態(tài)3校時狀態(tài)4時鐘清零5設定鬧鐘分6設定鬧鐘時7設定萬年歷天8設定萬年歷月9設定萬年歷年10萬年歷清零b帶計數(shù)器的復用通過上面的介紹我們可以清楚的看到電路總共有10種工作狀態(tài),但這里要把鎖死狀態(tài)單獨考慮,所以總共我們就可以通過控制一個摸十或摸九的計數(shù)器,再把結果輸送給一個數(shù)據(jù)分配器,在不同數(shù)值時把開關狀態(tài)

15、輸送到不同的控制口,計數(shù)器數(shù)值可以通過led燈觀測。這樣我們總共使用三個開關就可以控制整個電路-鎖死鍵、模式鍵、控制鍵。(具體電路見下面分析)二 方案論證:i.總體方案分析:整個電路有三大主體電路:1. 控制電路,2.脈沖電路,3,功能電路時鐘電路首先要有輸入脈沖,由于平臺提供了脈沖發(fā)生器,就省去了脈沖發(fā)生器的設計,這里我們只需要設計一個分頻器,得到我們需要的頻率。時鐘的計時范圍是00:00:00-23:59:59,所以我們需要設計模六十和模二十四的計數(shù)器組成時鐘計時電路。為了顯示當前時鐘時間,我們需要一個顯示電路。校分、校時、清零電路只需要輸入一些控制信號給時鐘計時電路即可,當然這些控制信號

16、是由開關提供的。要實現(xiàn)整點報時功能,一個報時控制電路是必不可少的。這是只含基本功能的時鐘電路所包含的子電路。為了實現(xiàn)我們加入的鬧鐘功能,我們需要一個鬧鐘時間設定電路;鬧鐘時間保持電路;比較電路;蜂鳴器控制電路;鬧表時間顯示電路。因為我們只含有一個數(shù)碼顯示器,一般狀態(tài)下顯示的是時鐘,所以我們需要一個顯示模式切換電路。萬年歷電路需要由計時電路提供計時脈沖,脈沖輸送給一個由模30、模12、模100級聯(lián)而成計時電路。同樣萬年歷電路需要一個時間調整電路、顯示電路、顯示模式切換電路。通過以上分析我們可以得到如下框架圖:圖1 電路結構圖ii. 電路的工作原理電路總圖如下(電路進行了封裝):圖2 電路總圖首先

17、由分頻器把原來的48mhz的脈沖用進行分頻得到1khz、500hz、2hz、1hz的脈沖。1hz的脈沖送到時鐘計時電路秒位的clk輸入口;秒位的進位信號和2hz脈沖通過開關的選擇輸送到分位電路的時鐘輸入口,前者用于正常計時,后者由于校分時;分位的進位信號和2hz脈沖通過開關的選擇輸送到時位電路的時鐘輸入口,前者用于正常計時,后者由于校時。把三個計數(shù)器的輸出輸送給一個24選4的數(shù)據(jù)選擇器,六組分別為秒個位、秒十位、分個位、分十位、時個位、時十位。數(shù)據(jù)選擇器輸入信號由一個模八的計數(shù)器提供,這個模八的計數(shù)器的輸出同時送給一74138譯碼器。數(shù)據(jù)選擇器的輸出送入7447段譯碼器的輸入口,74138的的

18、輸出由于選擇顯示器的位,7447的輸出用于段的顯示,這樣就實現(xiàn)了動態(tài)顯示。至于整點報時電路我們把要蜂鳴器響的時間通過卡諾圖化簡,得到最簡的邏輯函數(shù)式,再把邏輯函數(shù)式通過電路實現(xiàn),滿足要求才把脈沖信號輸送給蜂鳴器。鬧鐘電路:首先通過選擇是否向分位和十位電路送脈沖來設定時間,當兩個電路都無脈沖則電路保持當前的數(shù)字,輸出端上的電平不在改變,把這個電平信號與時鐘計時電路中的對應位輸送到一個雙輸入的同或門,再把14個與門的輸出與起來,在與1khz的脈沖與其來送入蜂鳴器。鬧表各位的動態(tài)顯示原理與上面相同。萬年歷電路:其正常狀態(tài)的脈沖是由時鐘計數(shù)器時位的進位信號提供的,在調整日期時,時鐘信號是通過開關選擇一

19、個2hz脈沖輸送到各個電路時鐘端的。清零開關的輸入信號輸送到各個計數(shù)器的清零端即可。萬年歷各位的動態(tài)顯示原理與上面相同。最后由于有三組輸出信號要顯示,我們通過顯示模式控制開關選擇要顯示的狀態(tài)信號。以上的各種狀態(tài)下的控制鍵通過模式的選擇進行了復用。模式狀態(tài)切換的連個按鍵的輸入同時輸送到兩個led燈,通過觀察燈的狀態(tài)我們就可以判斷當前的模式。三 各子模塊設計原理i脈沖分頻模塊平臺提供給我們的是48mhz的脈沖,由于電路的需要,我們要把48mhz的脈沖分頻得到1khz(蜂鳴器、動態(tài)顯示)、500hz(蜂鳴器)、2hz(快速調整)、1hz(時鐘計數(shù))的脈沖。電路結構圖如下:圖3 分頻器電路結構圖a.三

20、分頻電路圖4本電路采用清零的方法把模16的計數(shù)器轉換為模三的計數(shù)器,輸出即為三分頻后的脈沖。b.48分頻電路圖5把一個模16計數(shù)器和模3的計數(shù)器級聯(lián)(163=48)即可得到模48的計數(shù)器,輸出即為48分頻后的脈沖。c.1000分頻電路圖 6把三個模10的計數(shù)器級聯(lián)起來(101010=1000),就可以得到一個1000的分頻器,由于做的是分頻器考慮的主體是脈沖電平變化率的問題,對一個周期里電平什么時候改變要求并不是很嚴格,所以這里為了避免冒險未采用芯片的進位信號作為下一級芯片的時鐘信號,而是采用把的值送到時鐘端。分頻器的總電路圖:圖7最終從48mhz的脈沖我們得到1khz(蜂鳴器、動態(tài)顯示)、5

21、00hz(蜂鳴器)、2hz(快速調整)、1hz(時鐘計數(shù))的脈沖。其芯片封裝結果如下:圖 8ii.時鐘計時模塊數(shù)字鐘的計時電路包括秒位、分位、時位三部分。其中秒位與分位均為60進制計時,時位為24進制計時。這樣我們只需設計模60和模24兩種計數(shù)器即可。秒向分進位,分向時進位,這樣把兩個模60和一個模24計數(shù)器級聯(lián)就可以得到時鐘的計時電路。a模60計數(shù)器電路圖:圖9為了防止冒險我們采用同步的方法設計計數(shù)器(1、同步時鐘;2、同步置數(shù))。把進位信號送入使能端ent(高電平有效),當?shù)谝黄嫈?shù)到1001(9)時,ent端變成高電平,但74160是上升沿觸發(fā)的,此時clk無上升沿第二片不計數(shù),當clk

22、的上升沿到來,第一片回到0000狀態(tài),第二片計數(shù)器的值增加1。第一片要設計為模10計數(shù)器,第二片要設計成模6計數(shù)器,因為74160本身就是模10計數(shù)器,所以第一片不要加別的控制電路。第二片我們把qc、qa與非起來送入同步置數(shù)端ldn,當?shù)诙挠嫈?shù)值為0101(5)時,ldn端低電平,但74160是同步置數(shù)的而且是上升沿有效,此時無上升沿不置數(shù),當clk的上升沿到來第一片會到0000狀態(tài),第二片置數(shù)為0000,這樣計數(shù)器就實現(xiàn)了00000000-01011001的計數(shù)范圍。與外部的聯(lián)系我們設置了6個端口,一個輸出端(進位信號),五個輸入端(保持、清零、脈沖切換、脈沖一、脈沖二),下面對6個端口

23、一一分析:保持:我們把開關接到enp端正常狀態(tài)開關低電平,信號經過非門變成高電平,送入enp(高電平有效)端,當開關變成高電平時,enp端變成低電平,使能端無效此時停止計數(shù),從而達到保持的目的。、清零:與保持端相似。脈沖1、脈沖2、脈沖切換開關:當脈沖切換開關為低電平時clk2與其與后為低電平,其非與clk1與后還是clk1,兩個與門的結果或起來為clk1,當脈沖切換開關為高電平時,同樣的分析此時選中clk2 進位端:把q1a、q1d、q2c、q2a與非后當做進位信號,及計數(shù)值為01011001(59)時進位。為什么選用非信號在總時鐘電路時在分析。封裝后如下:圖10b.模24計數(shù)器 電路圖:圖

24、11其基本思路與模60相差無幾,差別只是在置數(shù)時不同,第一片在020連個階段不要置數(shù)自己回0,但計到23時要置為0,需要外部控制電路。所以我們要把q1b、qa1、q2b(0010001123)與非起來,把此信號作為置數(shù)信號。封裝后如下:圖 12c.時鐘計數(shù)電路(包含控制電路)圖 13計數(shù)電路:脈沖由分頻器提供,外部的總電路采用的是異步計數(shù)法(但達到了同步的效果),把進位信號連接起來。秒位芯片clc1接1hz脈沖clc2不接,分位芯片clc1接秒位的進位信號脈沖clc2接2hz脈沖-用于校分,時位芯片clc1接分位的進位信號脈沖clc2接2hz脈沖-用于校時。由于進位信號是經過非后送出的,所以未

25、到進位數(shù)值時為高電平,當達到進位制時低電平。當秒位為058時,sci為高,當秒位為59時,sci為低,此時出現(xiàn)下降沿,分的計數(shù)器不計數(shù),當秒位由59變?yōu)?時,sci又變?yōu)楦唠娖?,出現(xiàn)上升沿,觸發(fā)分位的計數(shù)器,分位計數(shù)器加1,從而達到與同步計數(shù)方法相同的效果,而且不會出現(xiàn)冒險,這也就是為什么把原來的進位信號非后再送出的原因,分向秒進位分析方法相同??刂齐娐罚嚎偣灿腥齻€外接開關-清零、校分、校時。由于校分和校時切換的是脈沖開關的顫抖可能被芯片誤認為是計數(shù)脈沖,從而造成不穩(wěn)定,所以在這兩個開關上加了防抖動裝置(d觸發(fā)器)。圖 14時鐘端接的是2hz脈沖,d觸發(fā)器的功能是輸入端是什么輸出端便是什么,但

26、需要上升沿去觸發(fā),沒有上升沿即使輸入端改變輸出端也不變,當按下開關時發(fā)生顫抖(很短暫)這時無上升沿,輸出端不改變,當開關穩(wěn)定后而且上升沿也到來了輸出端改變。為了達到消顫的目的同時又不造成開關的延時這里我們引入的脈沖是2hz。清零:把開關直接接到三個計數(shù)器芯片的清零端即可。校分:開關值接到分位的k,為了達到校分時其他的保持這里還要把開關的值接到其他兩個芯片的保持信號輸入端。校時:同校分的原理一樣。由于秒位在校分和校時時都要保持,所以要把兩個保持信號或其來。iii顯示控制電路結構圖:圖15電路圖:圖16電路原理:本電路完成的是動態(tài)顯示,動態(tài)顯示不同于以往的靜態(tài)顯示,所謂靜態(tài)顯示,即每一個數(shù)碼管由單

27、獨的七段顯示譯碼器驅動,如要顯示n位數(shù),必需用n個七段顯示譯碼器。和靜態(tài)顯示不同,動態(tài)顯示使用數(shù)據(jù)選擇器的分時復用功能,將任意多位數(shù)碼管的顯示驅動,由一個七段顯示譯碼器來完成。這樣即節(jié)省了器件,又提高了效率。主體是一個24選4的數(shù)據(jù)選擇器,路選信號是由一個模8計數(shù)器提供的,這樣在一個周期里空余兩個路選信號,由于用的是動態(tài)原理,信號停留時間很短一個周期1/1000秒,這樣人眼根本看不出。24選4的輸出送到7447段譯碼器,以便在數(shù)碼管上顯示相應數(shù)值。模8計數(shù)器的輸出同時送到位選控制電路,由一個74138譯碼器構成,以便在任意時間只有一個位有效,這樣在任意的一個時間段里由7447提供段顯信號,74

28、138提供位顯信號,從而達到動態(tài)顯示的目的。這里只有24選4芯片是自己設計的,其他的軟件都提供了。a.24選4數(shù)據(jù)選擇器的設計這里我們是自己依據(jù)實驗參考書里的mux數(shù)據(jù)選擇器的vhdl語句編寫的。語句如下:library ieee;use ieee.std_logic_1164.all;/聲明庫entity mux24 is port (sl1,sl2,sl3,sl4:in std_logic; sh1,sh2,sh3,sh4:in std_logic; ml1,ml2,ml3,ml4:in std_logic; mh1,mh2,mh3,mh4:in std_logic; hl1,hl2,hl

29、3,hl4:in std_logic; hh1,hh2,hh3,hh4:in std_logic; a1,a2,a3 :in std_logic; d1,d2,d3,d4 :out std_logic);/定義輸入輸出端口end mux24;architecture p of mux24 is signal sl:std_logic_vector(3 downto 0); signal sh:std_logic_vector(3 downto 0); signal ml:std_logic_vector(3 downto 0); signalmh:std_logic_vector(3 downto 0); signalhl:std_logic_vector(3 downto 0); signalhh:std_logic_vector(3 downto 0); signald:std_logic_vector(3 downto 0);signal sel:std_logic_vector(2 downto 0);/定義數(shù)組范圍 begin sl=sl1&sl2&sl3&sl4;sh=sh1&sh2&sh3&sh4;ml=ml1&ml2&ml3&ml4;mh=mh1&mh2&mh3&mh4;hl=hl1&hl2&hl3&hl4;hh=hh1&hh2&hh3&hh4;sel

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論