電子線路實(shí)驗(yàn)Ⅱ課程設(shè)計(jì)報(bào)告用MAX plusⅡ進(jìn)行設(shè)計(jì)和仿真_第1頁
電子線路實(shí)驗(yàn)Ⅱ課程設(shè)計(jì)報(bào)告用MAX plusⅡ進(jìn)行設(shè)計(jì)和仿真_第2頁
電子線路實(shí)驗(yàn)Ⅱ課程設(shè)計(jì)報(bào)告用MAX plusⅡ進(jìn)行設(shè)計(jì)和仿真_第3頁
電子線路實(shí)驗(yàn)Ⅱ課程設(shè)計(jì)報(bào)告用MAX plusⅡ進(jìn)行設(shè)計(jì)和仿真_第4頁
電子線路實(shí)驗(yàn)Ⅱ課程設(shè)計(jì)報(bào)告用MAX plusⅡ進(jìn)行設(shè)計(jì)和仿真_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、南京信息工程大學(xué)電子線路實(shí)驗(yàn)課程設(shè)計(jì)報(bào)告電子與信息工程學(xué)院07通信工程(2)班姓名: 學(xué)號: 2009.6.1實(shí)驗(yàn)題目:用max+plus進(jìn)行設(shè)計(jì)和仿真。實(shí)驗(yàn)?zāi)康模?1)學(xué)習(xí)軟件的使用方法及vhdl程序的基本語法; (2)用max+plus進(jìn)行門電路、組合邏輯電路、觸發(fā)器、可編程邏輯器件和時(shí)序電路等的仿真。實(shí)驗(yàn)內(nèi)容:一、max+plus軟件的設(shè)計(jì)流程1)設(shè)計(jì)輸入點(diǎn)擊新建圖標(biāo)彈出如圖1.1所示的新建文件類型對話框。選取“text editor file”使用文本設(shè)計(jì)方法,在彈出的文本編輯器中輸入vhdl語言是設(shè)計(jì)代碼并存盤,窗口顯示如圖1.2. 下面以一個2輸入與門的設(shè)計(jì)為例來描述這一操作。 圖

2、1.1 先輸入源代碼并存盤,文本編輯框效果如圖1.2所示。將此文件保存為and2.vhd。注意保存文件時(shí)一定要選擇“vhd”的文件后綴,文件名必須與實(shí)體名相同。另外,保存該文件的文件夾不能用中文命令,也不能為根目錄。這里是新建一個名為example的文件夾來保存and2.vhd文件的。 圖1.2當(dāng)要打開已存盤的文件時(shí)則可點(diǎn)擊左上角的,在彈出如圖1.3所示open對話框中“show in list”欄內(nèi),選中要打開的文件類型,再選中vhdl程序所在的文件夾,在“files:”框內(nèi)選中vhdl程序名,這時(shí)在“file name”欄里將顯示相應(yīng)vhdl程序的文件名。點(diǎn)擊“ok”按鈕,就會彈出要打開的

3、文件窗口。2) 新建一個項(xiàng)目在編輯并保存vhdl程序后,準(zhǔn)備對其編譯前,一定要先將該vhdl程序所對應(yīng)的文件指定為一個項(xiàng)目。新建一個項(xiàng)目的過程如下:在主菜單中選擇“file”“project”“name”,打開如圖1.4所示的項(xiàng)目名稱選擇對話框。在對話框“directories”欄中,選擇項(xiàng)目文件所在的 文件夾,再在左邊的“file”框里選中要建立項(xiàng)目的vhdl文件名,此時(shí)在“project 圖1.3 圖1.4name”框內(nèi)將顯示要建立項(xiàng)目的vhdl文件名,再點(diǎn)擊“ok”,回到初始界面。至此,指定的vhdl程序就成為當(dāng)前的項(xiàng)目了。3)進(jìn)行編譯。修改錯誤啟動編譯窗口的方法是選擇主菜單“max+p

4、lus”的“compiler”選項(xiàng),此時(shí)會彈出如圖1.5所示的“compiler”子窗口。其中“start”是用來確定開始編譯的按鈕,點(diǎn)擊“start”按鈕就會開始編譯。 圖1.54 )波形分析仿真波形仿真的目的是通過給定設(shè)計(jì)輸入波形,觀察輸出波形,檢查設(shè)計(jì)是否符合要求。(1) 建立波形輸入文件 點(diǎn)擊新建按鈕,打開新建文本對話框,選擇“waveform editor file(.scf)”項(xiàng),選擇“ok”,就會出現(xiàn)波形編輯器窗口。 點(diǎn)擊節(jié)點(diǎn)“node”選項(xiàng),在下來菜單中選擇“enter nodes from snf”選項(xiàng),打開如圖1.6所示對話框。在圖中單擊“l(fā)ist”按鈕,可在“availa

5、ble node & groups”區(qū)看到設(shè)計(jì)中的輸入/輸出信號,單擊按鈕“=”后可對這些信號進(jìn)行觀測。單擊“ok”按鈕,可看到波形編輯窗口中加入了輸入/輸出節(jié)點(diǎn)。 圖1.6 從菜單“file”中選擇“save”,將波形文件保存為“and2.scf”.(2)為輸入信號建立輸入波形從菜單“file”中選擇“end time”,將框中的1.0us修改為1.0ms。再在“option”中選擇“grid size”,將100ns改為100us。再在“view”中選擇“fit in window”,出現(xiàn)合適的波形編輯窗口。要將信號“b”從0 us到1000 us的整個時(shí)段,設(shè)置為賦值周期為200 us的

6、方波,其步驟是;先單擊“b”將其選中,再單擊按鈕彈出對話框后不做任何改變,單擊“ok”,即可生成“b”的輸入波形。如要將信號“a”在100 us300 us和700us900us時(shí)間段設(shè)置為1,其他時(shí)間都為0,則可進(jìn)行如下操作:通過拖動鼠標(biāo)分別選中信號在100us300us和700us900us間的波段,使其呈黑色,再單擊按鈕就可完成設(shè)置。將其保存,至此得到輸入波形:(2) 運(yùn)行仿真器,進(jìn)行時(shí)序仿真 (3) 從菜單“max+plus”選擇“simulator”,打開如圖所示1.7所示的仿真器。單擊按鈕“start”,開始仿真,仿真完畢后,單擊按鈕“open scf”,就打開了如圖1.8所示的仿

7、真結(jié)果波形。 圖1.7 圖1.8通過輸出波形與輸入波形的關(guān)系的分析,可以得出結(jié)論所設(shè)計(jì)的與門邏輯功能正確。到此,2輸入與門的功能仿真全部完成。二、用max+plus對門電路進(jìn)行仿真1)輸入與非門的vhdl程序源代碼并存盤。新建一工程名為“nand2.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“nand2.scf”。將信號“b”從0 us到1000 us的整個時(shí)段設(shè)置為賦值周期為200 us的方波(初始為零),“a”在100 us300 us和700 us900us時(shí)間段置1,其余時(shí)間都為0,保存后運(yùn)行仿真器,最終所得結(jié)果如圖2.1所示。 圖2.1 2)輸入或門的vhd

8、l程序源代碼并存盤。新建一工程名為“or2.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“or2.scf”。將信號“b”從0 us到1000 us的整個時(shí)段設(shè)置為賦值周期為200 us的方波(初始為零),“a”在100 us200 us和600 us800us時(shí)間段置1,其余時(shí)間都為0,保存后運(yùn)行仿真器,最終所的結(jié)果如圖2.2所示。 圖2.2通過輸出波形與輸入波形的關(guān)系的分析,可以得出結(jié)論所設(shè)計(jì)的各門邏輯功能正確。到此,各門的功能仿真全部完成。三、用max+plus對組合邏輯電路進(jìn)行仿真1)輸入3線-8線譯碼器的vhdl程序源代碼并存盤。新建一工程名為“decoder3

9、8.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“decoder38.scf”。將信號“a”從0 us到1000 us的整個時(shí)段設(shè)置為賦值周期為50 us的波形,保存后運(yùn)行仿真器,最終所的結(jié)果如圖3.1所示。 圖3.1 2)輸入8線-3線優(yōu)先編碼器的vhdl程序源代碼并存盤。新建一工程名為“encoder83.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“encoder83.scf”。各輸入信號的設(shè)置如圖3.2所示,保存后運(yùn)行仿真器,最終所的結(jié)果如圖3.2所示。 圖3.2 3)輸入四選一數(shù)據(jù)選擇器的vhdl程序源代碼并存盤。見圖3.3(1) 圖3

10、.3(1)新建一工程名為“mux41.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“mux41.scf”。各輸入信號的設(shè)置如下圖所示,保存后運(yùn)行仿真器,所的結(jié)果如圖3.3(2)所示。圖3.3(2)通過輸出波形與輸入波形的關(guān)系的分析,可以得出結(jié)論所設(shè)計(jì)的各組合邏輯電路功能正確。到此,各組合邏輯電路的功能仿真全部完成。四、用max+pus對觸發(fā)器進(jìn)行仿真1)輸入同步d觸發(fā)器的vhdl程序源代碼并存盤。新建一工程名為“d_ff.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“d_ff.scf”。各輸入信號的設(shè)置如下圖所示,保存后運(yùn)行仿真器,所的結(jié)果如圖4

11、.1所示。 圖4.12)輸入jk觸發(fā)器的vhdl程序源代碼并存盤。新建一工程名為“jk_ff.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“jk_ff.scf”。各輸入信號的設(shè)置如圖4.2所示,保存后運(yùn)行仿真器,最終所的結(jié)果如圖4.2所示。 圖4.2通過輸出波形與輸入波形的關(guān)系的分析,可以得出結(jié)論所設(shè)計(jì)的觸發(fā)器功能正確。到此,觸發(fā)器的功能仿真全部完成。五、用max+plus對時(shí)序邏輯電路進(jìn)行仿真 1)輸入十進(jìn)制計(jì)數(shù)器的vhdl程序源代碼并存盤。新建一工程名為“count10.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“count10.scf”。各輸入信號的設(shè)置如圖5.1所示,保存后運(yùn)行仿真器,最終所的結(jié)果如圖5.1所示。 圖5.12)輸入4位基本寄存器的vhdl程序源代碼并存盤。新建一工程名為“registerb.vhd”的項(xiàng)目,編譯通過后進(jìn)行仿真。建立波形輸入文件后,將文件保存為“registerb.scf”。各輸入信號的設(shè)置如圖5.2所示,保存后運(yùn)行仿真器,最終所的結(jié)果

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論