畢業(yè)設(shè)計(jì)(論文)PLL信號(hào)發(fā)生器的設(shè)計(jì)_第1頁
畢業(yè)設(shè)計(jì)(論文)PLL信號(hào)發(fā)生器的設(shè)計(jì)_第2頁
畢業(yè)設(shè)計(jì)(論文)PLL信號(hào)發(fā)生器的設(shè)計(jì)_第3頁
畢業(yè)設(shè)計(jì)(論文)PLL信號(hào)發(fā)生器的設(shè)計(jì)_第4頁
畢業(yè)設(shè)計(jì)(論文)PLL信號(hào)發(fā)生器的設(shè)計(jì)_第5頁
已閱讀5頁,還剩21頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 ipllpll 信號(hào)發(fā)生器的設(shè)計(jì)信號(hào)發(fā)生器的設(shè)計(jì)【摘要】本設(shè)計(jì)以 max038 及鎖相環(huán)技術(shù)為核心, 設(shè)計(jì)了一個(gè)高精度多功能信號(hào)發(fā)生器。該信號(hào)發(fā)生器,能產(chǎn)生 1hz11.0592mhz 的正弦波、方波和三角波信號(hào)。頻率的調(diào)節(jié)方式有兩種,連續(xù)調(diào)節(jié)和按步進(jìn)調(diào)節(jié)。由 max038 結(jié)合電位器等外圍電路即可實(shí)現(xiàn)對(duì)頻率的連續(xù)調(diào)節(jié)。步進(jìn)調(diào)節(jié)部分又分為粗調(diào)和細(xì)調(diào)。由 at89c52 單片機(jī)通過 d/a 轉(zhuǎn)換器對(duì) max038 的控制實(shí)現(xiàn)頻率的粗調(diào),再結(jié)合鎖相環(huán)模塊電路(mc145151)即可實(shí)現(xiàn)頻率步進(jìn)的精確調(diào)節(jié)。輸出頻率在不同的波段,頻率步進(jìn)值不同。在信號(hào)輸出端接一級(jí)運(yùn)算放大電路來實(shí)現(xiàn)對(duì)信號(hào)輸出幅度的調(diào)

2、節(jié)。該信號(hào)發(fā)生器頻帶寬,精度高,實(shí)現(xiàn)了輸出信號(hào)在頻率和幅值上的精確調(diào)整, 可應(yīng)用于各種電子測(cè)量和控制場(chǎng)合。目錄目錄引言引言.1第第 1 章章 總體方案設(shè)計(jì)總體方案設(shè)計(jì).21.1 方案設(shè)計(jì)與論證 .2第第 2 2 章章 硬件設(shè)計(jì)硬件設(shè)計(jì).32.1、信號(hào)發(fā)生模塊 .32.1.1、max038 特性.32.1.2、電路實(shí)現(xiàn) .42.2 單片機(jī)模塊 .52.2.1 芯片介紹 .52.2.2 電路實(shí)現(xiàn) .62.3 運(yùn)放模塊 .62.3.1 反相運(yùn)算放大器 .72.3.2 同相運(yùn)算放大器 .72.4、d/a 轉(zhuǎn)換模塊.82.4.1 芯片介紹 .82.4.2、電路實(shí)現(xiàn) .112.5、鎖相環(huán)模塊 .112.5

3、.1 芯片介紹 .112.5.2、電路實(shí)現(xiàn) .122.6、濾波模塊 .13第第 3 章章 軟件設(shè)計(jì)軟件設(shè)計(jì).153.1、程序 .153.1.1、流程圖 .153.1.2、源程序 .153.2、電路仿真 .16第第 4 章、硬件調(diào)試章、硬件調(diào)試.174.1 制版 .17 ii4.2、硬件調(diào)試 .18結(jié)束語結(jié)束語.20致謝致謝.21附錄附錄.23附錄附錄 ii.24附錄附錄 iii.24 1引言在現(xiàn)代電子學(xué)的各個(gè)領(lǐng)域,常常需要高精度且頻率可方便調(diào)節(jié)的信號(hào)發(fā)生器。各種波形曲線均可以用三角函數(shù)方程式來表示。能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波) 、正弦波的電路被稱為函數(shù)信號(hào)發(fā)生器。函數(shù)信

4、號(hào)發(fā)生器在電路實(shí)驗(yàn)和設(shè)備檢測(cè)中具有十分廣泛的用途。函數(shù)信號(hào)發(fā)生器的實(shí)現(xiàn)方法通常有以下幾種1:(1)用分立元件組成的函數(shù)發(fā)生器:通常是單函數(shù)發(fā)生器且頻率不高,其工作不很穩(wěn)定,不易調(diào)試。(2)可以由晶體管、運(yùn)放 ic 等通用器件制作,更多的則是用專門的函數(shù)信號(hào)發(fā)生器 ic 產(chǎn)生。早期的函數(shù)信號(hào)發(fā)生器 ic,如 l8038、ba205、xr2207/2209 等,它們的功能較少,精度不高,頻率上限只有300khz,無法產(chǎn)生更高頻率的信號(hào),調(diào)節(jié)方式也不夠靈活,頻率和占空比不能獨(dú)立調(diào)節(jié),二者互相影響。(3)利用單片集成芯片的函數(shù)發(fā)生器:能產(chǎn)生多種波形,達(dá)到較高的頻率,且易于調(diào)試。鑒于此,美國(guó)馬克西姆公司

5、開發(fā)了新一代函數(shù)信號(hào)發(fā)生器 icmax038,它克服了(2)中芯片的缺點(diǎn),可以達(dá)到更高的技術(shù)指標(biāo),是上述芯片望塵莫及的。max038 頻率高、精度好,因此它被稱為高頻精密函數(shù)信號(hào)發(fā)生器 ic。結(jié)合鎖相環(huán)技術(shù),可以大大提高頻率的穩(wěn)定度,(4)利用專用直接數(shù)字合成芯片的函數(shù)發(fā)生器: 它以有別于其它頻率合成方法的優(yōu)越性能和特點(diǎn),成為現(xiàn)代頻率合成技術(shù)中的妓妓者。具體體現(xiàn)在相對(duì)帶寬寬、頻率轉(zhuǎn)換時(shí)間短、頻率分辨率高、輸出相位連續(xù)、可產(chǎn)生寬帶正交信號(hào)及其他多種調(diào)制信號(hào)??删幊毯腿珨?shù)字化、控制靈活、方便。 但比較昂貴。綜合分析以上四種實(shí)現(xiàn)方法的性價(jià)比,我們決定采用單片集成芯片 max038 來設(shè)計(jì)函數(shù)發(fā)生器。

6、頻率越高、產(chǎn)生波形種類越多的發(fā)生器性能越好,但器件成本和技術(shù)要求也大大提高,因此在滿足工作要求的前提下,性價(jià)比高的發(fā)生器是我們的首選。本設(shè)計(jì)基于實(shí)際使用中對(duì)信號(hào)發(fā)生器的具體要求,設(shè)計(jì)了一種以單片機(jī)和 max038 為核心的信號(hào)發(fā)生器。在單片機(jī)控制的方式下進(jìn)行頻率、波形等參數(shù)設(shè)置和調(diào)整。頻率調(diào)節(jié)方式有兩種模式,連續(xù)調(diào)節(jié)和按步進(jìn)調(diào)節(jié)。能輸出正弦波、三角波、方波信號(hào),輸出頻率范圍寬(1hz-11.0592m),通過更換對(duì)地電容來更換輸出頻段。第 1 章 總體方案設(shè)計(jì)1.1 方案設(shè)計(jì)與論證方案一:采用 mcl45151、max038、dac0832 組成的電路如圖 1-1-1 所示。 圖 1-1-1m

7、ax038 是一個(gè)能產(chǎn)生 1hz20mh2 的低失真正弦波、三角波、鋸齒波或矩形(脈沖)波的高頻波形產(chǎn)生器,它只要少量的外部元件。所需的輸出波形可由在 a0 和 a1 輸出端設(shè)置適當(dāng)?shù)拇a來選擇。max038 輸出頻率取決于注入 iin 腳電流的大小、cosc 腳的電容量(對(duì)地)和 fadj 腳的電壓。輸出的頻率 f0(mhz)與 iin(a)成正比、與 cf(pf) 成反比 。max038 芯片內(nèi)部提供了一個(gè) 2.5v 的基準(zhǔn)電壓源 控制模塊 d/a 轉(zhuǎn)換 鎖相環(huán)模塊 波形發(fā)生器 濾波 輸出 2,將此引腳與電位器相連,并輸入到 max038 的 iin 腳,改變電阻值既可以實(shí)現(xiàn)頻率的連續(xù)調(diào)節(jié)

8、。應(yīng)用單片機(jī) at89c52 產(chǎn)數(shù)字信號(hào),接到 da0832 的數(shù)字輸入端。dac0832 與運(yùn)放 741 組合,產(chǎn)生離散可調(diào)電壓值,將該電壓接到一固定的 5.1k 電阻上,將步進(jìn)電壓轉(zhuǎn)化為步進(jìn)電流。將此電流接到 max038 的iin 腳。將 max038 的同位輸出端 sync 與頻率合成器 145151 的壓控振蕩器的輸入端 fin 連接,結(jié)合相關(guān)電路構(gòu)成鎖相環(huán)路,將頻率鎖定。在輸出端的一個(gè)寬頻帶低通濾波器可以以合理的保正讓正弦波、方波及三角波通過,而限制由n 電路產(chǎn)生的高頻噪聲。優(yōu)點(diǎn):可控制的頻率范圍廣(從 01hz 到 20mh2),能產(chǎn)生準(zhǔn)確的高頻三角波、矩形波和脈沖波。占空比控制

9、容易,頻率穩(wěn)定度優(yōu)于 10-6。低失真的正弦波(075)。 缺點(diǎn):技術(shù)要求較高方案二:采用 cpld 分頻的 74hc4046 的電路采用 cpld 分頻的 74hc4046 的電路如圖 1-1-2 所示。圖 1-1-2 采用 cpld 分頻的 74hc4046 的電路利用晶振與 cpld 芯片組成晶體振蕩器,提供基準(zhǔn)頻率;cpld 編輯組成n 分頻電路,利用單片機(jī)改變控制其分頻比。利用 cpld 編程特性,讓其成為一個(gè)可編程的 12 位計(jì)數(shù)器和 d 觸發(fā)器的組合成為可置數(shù)的n 分頻電路,讓其為 74hc4046 集成鎖相環(huán)輸入正確的占空比為 50的波形。 優(yōu)點(diǎn):能達(dá)到設(shè)計(jì)要求的中心頻率 82

10、mh2 的掃頻電路,能產(chǎn)生準(zhǔn)確的高頻波形。cpld 和單片機(jī)的使用讓掃頻電路的步進(jìn)控制、頻率的精確產(chǎn)生得以實(shí)現(xiàn)。 缺點(diǎn):74hc4046 芯片在與 cpld 組成的反饋控制回路中,如果分頻比過高(經(jīng)計(jì)算和實(shí)際驗(yàn)證不能大于 100),否則將很難入鎖,而我們需要至少 576 分頻;而且隨著分頻比的升高,穩(wěn)定時(shí)間也隨之變長(zhǎng),根本不能達(dá)到 85hz 的掃頻頻率。方案三:采用 lpc2138 開發(fā)板產(chǎn)生,lpc2138 利用 ad 輸出口程控可產(chǎn)生正弦波、方波、三角波,通過程序可實(shí)現(xiàn)頻率變換。優(yōu)點(diǎn):可以直接通過程序控制頻率,不許要復(fù)雜的外圍電路。缺點(diǎn):不能滿足本函數(shù)信號(hào)發(fā)生器對(duì)頻率范圍的要求,而且在實(shí)現(xiàn)

11、步進(jìn)調(diào)制時(shí),波形很容易失真。根據(jù)上述三種方案的特點(diǎn)及設(shè)計(jì)要求,決定采取方案三來進(jìn)行設(shè)計(jì)。max038 的整體性能比較好且外圍電路更加簡(jiǎn)潔,性能穩(wěn)定可靠、頻率能達(dá)到設(shè)計(jì)任務(wù)、可調(diào)性好。第 2 章 硬件設(shè)計(jì)2.1、信號(hào)發(fā)生模塊2.1.1、max038 特性max038 性能特點(diǎn)和工作原理78(1)輸出頻率范圍:0.120mhz,最高可達(dá) 40mhz;(2)可產(chǎn)生正弦波、方波、三角波、鋸齒波及脈沖波;(3)輸出頻率和占空比(15%85%)獨(dú)立可調(diào);(4)低輸出阻抗的輸出緩沖器;(5)備有 ttl 兼容的獨(dú)立同步信號(hào) snyc(方波輸出,占空比固定為 50%),方便組建頻率合成器系統(tǒng); 3(6)低溫度

12、漂移。max038cpp 采用 20 引腳 dip 封裝,max038cwp 采用 20 引腳貼片 so 封裝。引腳定義見圖 1-1-3 各引腳功能簡(jiǎn)述如ref:ref:芯片內(nèi)部 2.5v 參考電壓輸出;gnd:gnd:模擬地;a0,a1:a0,a1:輸出波形選擇,ttl/cmos 兼容;cosc:cosc:內(nèi)部振蕩器外接電容;dadj,fadj:dadj,fadj:輸出頻率、占空比調(diào)節(jié);iin:iin:振蕩頻率控制器電流輸入;pdi,pdo:pdi,pdo:內(nèi)部鑒相器輸入輸出;sync:sync:同步信號(hào)輸出,允許內(nèi)部振蕩器與外信號(hào)同步;dgnd,dv+:dgnd,dv+:內(nèi)部數(shù)字電路電源;

13、v+,v-:v+,v-:max038 供電電源端(+5v,-5v);out:out:波形輸出。 圖 2-1-1 max038 引腳定義芯片內(nèi)部的振蕩器、比較器和波形變換電路產(chǎn)生正弦波、方波、三角波、鋸齒波和脈沖波,通過選擇控制端 a0, a1 的電平選擇一種波形(a0,a1 的控制電平與輸出波形的關(guān)系見表 2-1) 經(jīng)內(nèi)部緩沖器緩沖后輸出。波形的振蕩頻率、占空比等可以由芯片外部參數(shù)控制。同時(shí),芯片內(nèi)部還提供了一個(gè) 2.5v 的基準(zhǔn)電壓源和一個(gè)鑒相器。基準(zhǔn)電壓源使得外部只需一個(gè)可變電阻即可改變內(nèi)部振蕩器的參數(shù);輸出的占空比可以由 dadj 端口調(diào)整,如果 dadj 端接地,則輸出占空比為 50%

14、。表 2-1-1 a0,a1 的電平與輸出波形的關(guān)系a0a1輸出波形任意高電平正弦波低電平低電平方波高電平低電平三角波max038 輸出頻率取決于注入 iin 腳電流的大小、cosc 腳的電容量(對(duì)地)和 fadj 腳的電壓。當(dāng) vfadj=0v 時(shí),輸出的基波頻率:f0(mhz)=iin(a)cf(pf) 式 2-1-1周期(t0)則為:t0(s)=cf(pf)iin(a)。 式 2-1-2式中,iin 為注入 iin 腳的電流(2a750a), cf 為 cosc 腳和地所接的電容值(20pf100f)。iin 和 cf 的變化對(duì)輸出頻率影響較大,用于粗調(diào);而 vfadj 用于精調(diào),當(dāng)他在

15、允許的-2.42.4v 范圍之間變化時(shí)最多只能使輸出頻率變化70%。 42.1.2、電路實(shí)現(xiàn)圖 2-1-2(1)、波形切換由表 2-1-1 可以知,改變 a0、a1 兩引腳的值即可輕易改變輸出波形的種類。本設(shè)計(jì)中此二引腳值有由單片機(jī)中 p1.3 及 p1.4 兩引腳控制。程序?qū)崿F(xiàn)如下:unsigned char code qiehuan=0 x00,0 x08,0 x10; 結(jié)合按鈕,不斷循環(huán)調(diào)用數(shù)表,即可輕易改變輸出波形。(2)連續(xù)可調(diào)max038 芯片內(nèi)部還提供了一個(gè) 2.5v 的基準(zhǔn)電壓源(1 腳),基準(zhǔn)電壓源使得外部只需一個(gè)可變電阻即可改變內(nèi)部振蕩器的參數(shù)。將其與 max038 的 i

16、in 相連,既可實(shí)現(xiàn)頻率的連續(xù)可調(diào)。(接在 ref 腳和 iin腳之間的電阻,可提供一種產(chǎn)生 iin 的簡(jiǎn)便方法,iin=ref/rin)。f0(mhz)=vinrincf(pf) 式(2-1-3)r 為 ref 與 iin 間的電阻,r 的變化范圍大時(shí),頻率變化范圍也大。(3)步進(jìn)可調(diào)當(dāng) cosc 的對(duì)地電容固定時(shí),當(dāng) iin 按固定步進(jìn)變化時(shí),f0 也將以固定步進(jìn)變化。因?yàn)轭l率范圍很寬,因此不可能一直使用唯一的步進(jìn)值。本設(shè)計(jì)中采用更換 cosc 的對(duì)地電容的方法9,更換頻率步進(jìn),具體如表 2-1-2 所示表 2-1-2頻率波段(k)步進(jìn)(k)電容值(pf)電容選擇0178.21.35430

17、0332+10201425.610.8530500+330211216360331+30011404.886.46633+33(4)占空比max038 芯片輸出的占空比可以由 dadj 調(diào)整,如果 dadj 端接地,則輸出占空比為 50%。本設(shè)計(jì)中使 dadj接地,即占空比為 50%,因此使得輸出的矩形波為標(biāo)準(zhǔn)的方波。(5)穩(wěn)定性問題欲使 max038 長(zhǎng)時(shí)間地在正常溫度范圍內(nèi)產(chǎn)生頻率穩(wěn)定的輸出電壓,必須采取以下措施:(1)決定頻率的外接電阻、電容的溫度特性要好;(2)外部電源應(yīng)穩(wěn)定; (3)應(yīng)選用高精度的金屬膜電阻,精度為 1%或更高;(4)必須選用溫度系數(shù)低的 npo 陶瓷電容器。 52.

18、2 單片機(jī)模塊(實(shí)驗(yàn)室常見的單片機(jī)芯片有 at89c51,at89c52 兩種,相比較而言 at89c52 的響應(yīng)速速更快,因此本設(shè)計(jì)中選用 at89c52。 )圖 2-2-1,at89c52 引腳圖2.2.1 芯片介紹(1 1)、)、p0 、p1、p2、p3 口均為雙向 i/o 口。作為輸出口,p0 需要外部上拉電阻。其他端口則不需要。 部分引腳含有第二功能12,如表 2-2-1 所示。表 2-2-1引腳號(hào) 第二功能p1.0 t2(定時(shí)器/計(jì)數(shù)器 t2 的外部計(jì)數(shù)輸入),時(shí)鐘輸出p1.1t2ex(定時(shí)器/計(jì)數(shù)器 t2 的捕捉/重載觸發(fā)信號(hào)和方向控制)p1.5mosi(在系統(tǒng)編程用) p1.6

19、miso(在系統(tǒng)編程用) p1.7sck(在系統(tǒng)編程用)p3.0 rxd(串行輸入)p3.1 txd(串行輸出)p3.2 int0(外部中斷 0)p3.3 int1(外部中斷 1)p3.4t0(定時(shí)器 0 外部輸入)p3.5t1(定時(shí)器 1 外部輸入)p3.6wr(外部數(shù)據(jù)存儲(chǔ)器寫選通) p3.7rd(外部數(shù)據(jù)存儲(chǔ)器讀選通) rst:rst: 復(fù)位輸入。晶振在工作時(shí),rst 腳持續(xù) 2 個(gè)機(jī)器周期高電平將使單片機(jī)復(fù)位??撮T狗計(jì)時(shí)完成后,rst 腳輸出 96 個(gè)晶振周期的高電平。特殊寄存器 auxr(地址 8eh)上的 disrto 位可以使此功能無效。disrto 默認(rèn)狀態(tài)下,復(fù)位高電平有效。

20、 ale/progale/prog:地址鎖存控制信號(hào)(ale)是訪問外部程序存儲(chǔ)器時(shí),鎖存低 8 位地址的輸出脈沖。在flash 編程時(shí),此引腳(prog)也用作編程輸入脈沖。在一般情況下,ale 以晶振六分之一的固定頻率輸出脈沖,可用來作為外部定時(shí)器或時(shí)鐘使用。然而,特別強(qiáng)調(diào),在每次訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),ale脈沖將會(huì)跳過。如果需要,通過將地址為 8eh 的 sfr 的第 0 位置 “1”,ale 操作將無效。這一位置 “1”, ale 僅在執(zhí)行 movx 或 movc 指令時(shí)有效。否則,ale 將被微弱拉高。這個(gè) ale 使能標(biāo)志位(地址為 8eh 的 sfr 的第 0 位)的設(shè)置對(duì)微控制

21、器處于外部執(zhí)行模式下無效。 6psen:psen:外部程序存儲(chǔ)器選通信號(hào)(psen)是外部程序存儲(chǔ)器選通信號(hào)。當(dāng) at89s52 從外部程序存儲(chǔ)器執(zhí)行外部代碼時(shí),psen 在每個(gè)機(jī)器周期被激活兩次,而在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),psen 將不被激活。 ea/vpp:ea/vpp:訪問外部程序存儲(chǔ)器控制信號(hào)。為使能從 0000h 到 ffffh 的外部程序存儲(chǔ)器讀取指令,ea 必須接 gnd。為了執(zhí)行內(nèi)部程序指令,ea 應(yīng)該接 vcc。在 flash 編程期間,ea 也接收 12 伏 vpp 電壓。 xtal1:xtal1:振蕩器反相放大器和內(nèi)部時(shí)鐘發(fā)生電路的輸入端。 xtal2:xtal2:振蕩器

22、反相放大器的輸出端。2.2.2 電路實(shí)現(xiàn)單片機(jī)的復(fù)位是靠外電路實(shí)現(xiàn)的,在時(shí)鐘電路工作后,只要在單片機(jī)的 rst 引腳上出現(xiàn) 24 個(gè)時(shí)鐘振蕩脈沖(2 個(gè)機(jī)器周期)以上的高電平,單片機(jī)便實(shí)現(xiàn)初始化狀態(tài)復(fù)位。為了保證應(yīng)用系統(tǒng)可靠地復(fù)位,通常是 rst 引腳保持 10ms 以上的高電平。本系統(tǒng)具有上電復(fù)位功能。電路連接如圖 3-6 所示。此電路僅用一個(gè)電容及一個(gè)電阻。系統(tǒng)上電時(shí),在 rc 電路充電過程中,由于電容兩端電壓不能跳變,故使 reset 端電平呈高電位,系統(tǒng)復(fù)位。經(jīng)過一段時(shí)間,電容充電,使 reset 端呈低電位,復(fù)位結(jié)束3。圖 2-2-2 單片機(jī)的主要功能是提供 dac0832 及 mc

23、145151 的數(shù)字信號(hào)。主要功能由按鍵實(shí)現(xiàn),如圖 2-2-2 所示。程序?qū)崿F(xiàn)如:if(key1=0) ;通過類似的語句,不斷進(jìn)行鍵盤掃描,執(zhí)行相應(yīng)的子程序。 當(dāng)按鍵一按下時(shí),p2 端口輸出的數(shù)字信號(hào)加 1,當(dāng)按鍵 2 按下時(shí),p2 端口輸出的數(shù)字信號(hào)加 1,借助發(fā)光二極管也發(fā)生相應(yīng)的變化。當(dāng)按鍵 3 按下時(shí),切換輸出的波形,當(dāng)按鍵 4 按下時(shí),切換輸出的波段,當(dāng)按鍵 5、 。按下時(shí),p2 端口輸出的數(shù)字信號(hào)被復(fù)位。該模塊的實(shí)現(xiàn)主要是靠程序。仿真時(shí)應(yīng)注意,端口輸出是否需要加上拉電阻才可以使用,根據(jù)at89c52 的芯片介紹中可只,i/o 端口中出了 p0 端口,其余 i/o 端口均有內(nèi)部上拉電

24、阻,但未免過于理想化,在接按鈕時(shí)候,還是應(yīng)該接上 10k 的上拉電阻,以防萬一。 72.3 運(yùn)放模塊 圖 2-3-1c741、lf357 及 op27 的引腳排列如圖 2-3-1 所示,不同的是,他們的電源電壓不同,741 及 357均為12v,op27 為5v,741 及適合與 dac0832 搭配使用,op27 的精度較高,lf357 的頻帶范圍寬。因此它們各自適用于不同的場(chǎng)合。2.3.1 反相運(yùn)算放大器圖 2-3-2圖 2-3-2 是反相放大器的原理圖,下面分析輸入電壓與輸出電壓的關(guān)系。根據(jù)輸入端口電流為零的特性得: i1=if (2-3-1)又根據(jù)輸入端口電壓為零的特性得=,= (2-

25、3-2)1i10ruifif0.0ru由式(2-3-1)和式(2-3-2 得輸入、輸出電壓關(guān)系為 u0=-rf/ri*ui (2-3-3) 由式(2-3-3)可見,輸出電壓與輸入電壓成正比,極性相反,因此稱為反相放大器。反相放大器的增益只與外接電阻有關(guān),因此可以實(shí)現(xiàn)高精度和高穩(wěn)定性的增益值10。 2.3.2 同相運(yùn)算放大器圖 2-3-3如果輸入電壓加在運(yùn)放的同相輸入端,而在反相輸入端引入負(fù)反饋,就構(gòu)成了同相放大器。如圖圖 2-3-3 所示。根據(jù)理想的運(yùn)放輸入電壓為零的特性并應(yīng)用 kvl 得, iffuir0u1ruiif(2-3-4) 8 再根據(jù)理想運(yùn)放輸入電流為零的特性得 if=i1 (2-

26、3-5)由式(2-3-4)及式(2-3-5)得同相放大器輸出電壓與輸入電壓的關(guān)系 (2-3-6) ifurr)1 (u10同相放大器是增益大于 1 的電壓控制電壓源,輸出電壓與輸入電壓極性相同。 如果 rf=0,及r1=,則(2-3-6)電路變成:u0=ui (2-3-7)即二者相等,故稱為電壓跟隨器10。max038 的輸出信號(hào)為恒定的 2vp-p,且輸出電流不大,故輸出至少要加一級(jí)放大電路,以提供足夠的輸出電壓和電流。輸出放大電路是本信號(hào)發(fā)生器研制中難點(diǎn)之一。因?yàn)橄到y(tǒng)輸出信號(hào)的最大基頻為 11.0592mhz,波形輸出電路高次諧波成分很高,所以要得到不失真的輸出波形,要求放大器不但具有很高

27、的頻寬,還要有足夠的輸出電壓轉(zhuǎn)換速率。所以采用一片 lf357 來進(jìn)行電壓放大(同相)6,電路如 2-3-4 所示。圖 2-3-4ifurr)1 (u102.4、d/a 轉(zhuǎn)換模塊2.4.1 芯片介紹(1)、dac0832 內(nèi)部結(jié)構(gòu)及引腳功能11dac0832 的內(nèi)部結(jié)構(gòu)圖集引腳圖如圖 2-4-1 所示,dac0832 由三大部分組成:一個(gè) 8 位輸入寄存器,一個(gè) 8 位 dac 寄存器和一個(gè) 8 位 da 轉(zhuǎn)換器組成。 9圖 2-4-1 dac0832 內(nèi)部結(jié)構(gòu)及引腳功能圖、8 位輸入寄存器。它由 8 個(gè) d 鎖存器組成,用來作為輸人數(shù)據(jù)的緩沖寄存器,它的 8 個(gè)數(shù)據(jù)輸入可以直接和單片機(jī)的數(shù)據(jù)

28、總路線相連。le1 為其控制輸入:le1=1 時(shí),d 觸發(fā)器接收信號(hào);le1=0時(shí),為鎖存狀態(tài)。、8 位 dac 寄存器。它也由 8 個(gè) d 鎖存器組成。8 位輸入數(shù)據(jù)只有經(jīng)過 dac 寄存器才能送到da 轉(zhuǎn)換器去轉(zhuǎn)換。它的控制端為 le2,當(dāng) le21 時(shí)輸出跟隨輸入,而當(dāng) le2=0 時(shí)為鎖存狀態(tài)。dac寄存器輸出直接送到 8 位 da 轉(zhuǎn)換器進(jìn)行數(shù)模轉(zhuǎn)換。、8 位 da 轉(zhuǎn)換器。8 位 da 轉(zhuǎn)換器是采用 t 型網(wǎng)絡(luò)的 da 轉(zhuǎn)換器。它的輸出是與數(shù)字量成正比例的電流,vref 為參考電壓輸入,rfb 為運(yùn)算放大器的反饋電阻,引腳 rfb 則是這個(gè)反饋電阻的一端,使用時(shí)接到運(yùn)算放大器的輸出

29、端??刂七壿嫴糠帧?刂七壿嫴糠止灿形鍌€(gè)倍號(hào)來控制 da 轉(zhuǎn)換器的工作:d10d10d17d17:數(shù)字信號(hào)輸入端,d17msb d10lsbile:ile:輸入寄存器允許,高電平有效: : 片選信號(hào),低電平有校,與 ile 信號(hào)合起來共同控制是否起作用。cs2wr:寫信號(hào) 1,低電平有校,用來將數(shù)據(jù)總數(shù)的數(shù)據(jù)輸入鎖存于 8 位輸入寄存器中,有校時(shí),1wr1wr必須使和 ile 同時(shí)有效。cs:寫信號(hào) 2,低電平有校,用來將鎖存于 8 位輸入寄存器中的數(shù)字傳送到 8 位 d/a 寄存器鎖存起2wr來,此時(shí)應(yīng)有校。 xfer:傳送控制信號(hào),低電平有效,用來控制是否起作用。xfer2wriout1io

30、ut1:d/a 輸出電流 1,當(dāng)輸入數(shù)字量全為 1 時(shí),電流最大。iout2iout2:d/a 輸出電流 2。rfbrfb:反饋電阻。dac0832 為電流輸出芯片,可外接運(yùn)算放大器,將電流輸出轉(zhuǎn)換成電壓輸出,電阻rfb 是集成在內(nèi)的運(yùn)算放大器的反饋電阻,并將其一端引出片外,為在片外連接的運(yùn)算放大器提供方便。vrefvref:基準(zhǔn)電壓,通過它將外加精度度的電壓源接至 t 型電壓網(wǎng)絡(luò),電壓范圍(-10v+10v),也可以直接向其他 d/a 轉(zhuǎn)換器的電壓輸出端。vccvcc:電源,電壓范圍(+5v+15v) 。agnd:agnd:模擬地。dgnd:dgnd:數(shù)字地。(2)dac0832 的單極性和

31、雙極性輸出dac0832 是電流輸出型數(shù)模轉(zhuǎn)換器,需要電壓輸出時(shí),可以簡(jiǎn)單地使用一個(gè)運(yùn)算放大器連接成單極性,相應(yīng)輸出電路如圖 2-4-2(a)所示,若 vbef-5v,則電路的輸出 u0 為 05v。當(dāng)采用兩個(gè)運(yùn)算放大器可以連接成雙極性輸出,相應(yīng)電路如圖 2-4-2(b)所示,若 vbef5v,電路的輸出 u0 為- 105+5v。圖 2-4-2(a)單極性輸出;(b)雙極性輸出 (3)、dac0832 的工作方式 dac0832 轉(zhuǎn)換器可以有三種工作方式,即直通方式、單緩沖方式和雙緩沖方式。 直通方式直通方式:這時(shí)兩個(gè) 8 位數(shù)據(jù)寄存器都處于數(shù)據(jù)接收狀態(tài),即了 le1 和 le2 都為 1。

32、因此,ile1,而、都為 0,輸入數(shù)據(jù)直接送到內(nèi)部 da 轉(zhuǎn)換器去轉(zhuǎn)換。這種方式可用于cs1wr2wrxfer一些不帶單片機(jī)或微機(jī)的控制系統(tǒng)中。 單緩沖方式單緩沖方式:這時(shí)兩個(gè) 8 位數(shù)據(jù)寄存器中有一個(gè)處于直通方式(數(shù)據(jù)接收狀態(tài)),而另一個(gè)則受單片機(jī)送來的控制信號(hào)控制。 雙緩沖方式雙緩沖方式:這時(shí)兩個(gè) 8 位數(shù)據(jù)寄存器都不處于直通方式,單片機(jī)或其他微機(jī)必須送兩次寫信號(hào)才能完成一次 da 轉(zhuǎn)換。 dac0832 時(shí)序圖如圖 2-4-3 所示。 圖 2-4-3 dac0832 時(shí)序圖 112.4.2、電路實(shí)現(xiàn)圖 2-4-4 本設(shè)計(jì)中,采用單極性直通模式,因此 ile1,而、都為 0。運(yùn)放選cs1w

33、r2wrxfer用 741。d1、d2是起輸入保護(hù)作用的。輸入保護(hù)是指當(dāng)輸入端所加的電壓過高時(shí)會(huì)損壞輸入級(jí)的晶體管。在輸入端處接入兩個(gè)反向并聯(lián)的二極管,將輸入電壓限制在二極管的正向壓降以下。該模塊是實(shí)現(xiàn)頻率步進(jìn)可調(diào)的關(guān)鍵之一,實(shí)現(xiàn)頻率的粗調(diào)。當(dāng) at89c52 的 p2 端口輸出的數(shù)字子信號(hào)加到 dac0832 上時(shí),741 輸出端口輸出一固定電流,當(dāng)數(shù)字信號(hào)變換時(shí),輸出電流也跟著變化,數(shù)字信號(hào)變化與數(shù)字信號(hào)變化成線性關(guān)系時(shí),既可達(dá)到步進(jìn)調(diào)頻的目的。2.5、鎖相環(huán)模塊2.5.1 芯片介紹(1) 、mc145151 引腳介紹8mcl4515 是具有雙模分頻比、預(yù)置定頻值的鎖相環(huán)頻率合成器集成電路

34、。適用于高頻通信設(shè)備作頻率合成器用。它們的引腳功能見表 2-5-1 所列。表 2-5-1 引腳 符號(hào) 功能 引腳 符號(hào) 功能 1 fin 壓控振蕩器高頻信號(hào)輸入端 16 n5 2 vss 接地線 17 n6 3 vpo 電源電壓輸入端口(39) 18 n7 4 vpi 鎖相檢測(cè)狀態(tài)信號(hào)輸出端 19 n8 5 ra0 20 n9 見 1115 中的說明 6 ra1 7 ra2 此三腳為一組 3 位二進(jìn)制代碼,用以決定基準(zhǔn)頻率的分頻數(shù) 8 pd2 21 t/r 發(fā)送接收偏離附加數(shù)值,當(dāng)此腳為低電平時(shí),將有一個(gè)附加數(shù)值 856 被加至 n 二進(jìn)制數(shù)中,從而產(chǎn)生頻率偏離 9 pd3 鎖相檢測(cè)狀態(tài)信號(hào)輸

35、出端,且與 4 腳效果相同 22 n12 10 fv 基準(zhǔn)比較頻率信號(hào)輸出端 23 n13 11 n0 24 n10 12 n1 25 n11 見 1115 中的說明 13 n2 26 osc out 14 n3 27 oscin 基準(zhǔn)信號(hào)輸入,輸出端 1515 n4 這幾只腳與 1620、2226 腳為 14 位二進(jìn)制數(shù)代碼,n0 為最低位,n13 位最高位,此組二進(jìn)制數(shù)的大小決定壓控振蕩器輸入信號(hào)計(jì)數(shù)器的分頻數(shù) 28 ld 鎖定狀態(tài)輸出端,當(dāng)此環(huán)路進(jìn)入鎖定狀態(tài)時(shí),輸出高電平 (2)鎖相環(huán)頻率合成器的基本原理45 12鎖相環(huán)電路是一個(gè)負(fù)反饋環(huán)路。圖 2-5-1 給出了一種最簡(jiǎn)單的見 pll

36、頻率合成器的框圖。它由基準(zhǔn)頻率源、鑒相器、低通濾波器、壓控振蕩器等部分等組成。 圖 2-5-1 鎖相環(huán)頻率合成器的原理框圖 基準(zhǔn)頻率源:基準(zhǔn)頻率源提供一個(gè)穩(wěn)定頻率源,其頻率為 fr,一般用精度很高的石英晶體振蕩器產(chǎn)生,是鎖相環(huán)的輸入信號(hào)。鑒相器:鑒相器是一個(gè)誤差檢測(cè)元件。它將基準(zhǔn)頻率源的輸出信號(hào) fr的相位與壓控振蕩器輸出信號(hào) ff的相位相比較,產(chǎn)生一個(gè)電壓輸出信號(hào) ud,其大小取決于兩個(gè)輸入信號(hào)的相位差。壓控振蕩器:壓控振蕩器的輸出信號(hào)頻率 f0與它的輸入控制電壓 u0成一定比例,而分頻器將鎖相環(huán)的輸出信號(hào) f0反饋給鑒相器,形成一個(gè)負(fù)反饋,從而使輸入信號(hào)和輸出信號(hào)之間的相位差保持恒定。分頻

37、器:分頻器為環(huán)路提供一種反饋機(jī)制,當(dāng)分頻系數(shù)加 n=1 時(shí),鎖相環(huán)系統(tǒng)的輸出信號(hào)頻率f0等于輸入信號(hào)頻率 fr。f0=fr (2-5-1)信號(hào)鎖定后有 f0=ff=fr (2-5-2) 當(dāng)分頻器的分頻系數(shù) n1,有f0=n. ff即 ff = f0/n (2-5-3)環(huán)路鎖定后有 ff= fr,f0=n. ff =n. fr 若改變 n,則 ff fr,環(huán)路失鎖,這時(shí)環(huán)路就進(jìn)行頻率捕捉和相位捕捉。經(jīng)過一段時(shí)間后,環(huán)路重新進(jìn)入鎖定狀態(tài),頻率合成器完成一個(gè)頻率轉(zhuǎn)換過程,此時(shí)頻率合成器輸出為一個(gè)新的穩(wěn)定頻率。當(dāng)環(huán)路處于穩(wěn)定狀態(tài)時(shí),輸出和輸入之間存在一定量相位誤差。而對(duì)于輸入信號(hào)頻和輸出信號(hào)頻率而言,

38、二者卻是成比例的,這時(shí)環(huán)路處于鎖定狀態(tài),這是鎖相環(huán)電路的個(gè)特點(diǎn)。用種方法可以得到非常精確的頻率控制。而其他的頻率控制方法,在穩(wěn)態(tài)時(shí)總是存在一定的頻率誤差。2.5.2、電路實(shí)現(xiàn)圖 2-5-2mc145151 是本設(shè)計(jì)中頻率合成器部分的核心。他包含圖 2-5-2 中的鑒相器 pd 和程序分頻器n。本設(shè)計(jì)中的參考頻率 fr 由 mc145151 對(duì) 11.0592mhz 晶體振蕩器頻率分頻。mc145151 和外圍環(huán)路濾波 13器 lf 以及由成器,保證了輸出頻率的穩(wěn)定和精確。fr 由 ra0,ra1,ra2 三腳值決定。如表 2-5-2 所示。該設(shè)計(jì)中,不同的波段,步進(jìn)頻率值不同9,因此,ra0,

39、ra1,ra2 三腳值設(shè)為 001、100 及 111,對(duì)11.0592m的晶振進(jìn)行分頻,將基準(zhǔn)頻率 fr 設(shè)為 86.4k、10.8k 及 1.35k。如表 2-5-2 所示表 2-5-2ra2ra1ra0分頻值00080 00 01 11281280102560115121 10 00 010241024101204811040961 11 11 181928192該模塊是實(shí)現(xiàn)頻率步進(jìn)可調(diào)的另一關(guān)鍵電路,實(shí)現(xiàn)頻率的細(xì)調(diào)。max038 的 sync(位同步信號(hào)輸出,允許內(nèi)部振蕩器與外信號(hào)同步,將其與 lm145151 的壓控振蕩器高頻信號(hào)輸入端 fin 相連,將 max038 的輸出頻率 n

40、 分頻后,與 lm145151 的基準(zhǔn)步進(jìn)頻率比較,當(dāng)兩者相等時(shí),op27 的輸出端輸出電壓為 0,當(dāng)兩者不等時(shí),op27 的輸出電壓不為 0,這變刺激,sync 位同步信號(hào)輸出,再次與基準(zhǔn)頻率比較,直至 op27 輸出為 0,vfadj=0v,f0(mhz)=iin(a)cf(pf),即可將輸出頻率鎖定在固定的頻率點(diǎn)。2.6、濾波模塊常用濾波器的頻響有三種:巴特沃斯型,切比雪夫型和橢圓型,如圖 2-6-1 所示圖(a)是巴特沃斯響應(yīng)曲線,它通常最平坦,但過渡帶較大,適用于對(duì)通帶要求較高,而需去除的頻率離通帶較遠(yuǎn)的情況。圖(b)是切比雪夫響應(yīng)曲線,通帶內(nèi)有紋波現(xiàn)象,其過渡帶介于二者之間。圖(c

41、)是橢圓型濾波器的響應(yīng)曲線,可以看出其過渡帶最窄,通帶內(nèi)有紋波,而且阻帶內(nèi)出現(xiàn)寄生通帶,它適用于需濾除頻率離通帶較近的情況,同時(shí)要考慮阻帶內(nèi)幅度較大的信號(hào)不要落在寄生通帶內(nèi)2。圖 2-6-1本設(shè)計(jì)中頻率范圍寬,因此,要求濾波電路的頻帶范圍也要寬,且要求在通帶頻帶內(nèi)分布均勻,但不能存在寄生通帶。切比雪夫 i 型濾波器恰好符合這些要求。切比雪夫 i 型濾波器是由巴特沃思濾波器濾波器演化而來的12。這種濾波器的幅度特性平方為:|ha(j)|2=1/(1+2tn(/c)) 2-6-1tn(x)= 2-6-21|)coshcosh(1|x|)cos(cxxnarxnaros 14切比雪夫?yàn)V波器的濾波特性

42、具有下列特點(diǎn): 、所有曲線在=時(shí)通過點(diǎn),因而把定義為切比雪夫?yàn)V波器的截止角頻率。c211c、在通帶內(nèi),在 1 和之間變化;在通帶外,特性呈單調(diào)1c)( jha2111c下降,下降速度為 20n /dec。d、n 為奇數(shù),ha(j0)1;n 為偶數(shù),ha(j0)。通帶內(nèi)誤差分布是均勻的,實(shí)際上這211種逼近稱為最佳一致逼近。因此通帶等波紋濾波器是在通帶內(nèi)以最大誤差最小化對(duì)理想低通濾波器的最佳一致逼近。為設(shè)計(jì)方便對(duì)低通原型電路實(shí)現(xiàn)的元件值已制成如表 105 所示的表格,表中是不同階次n,在通帶內(nèi)起伏波紋為 l db 時(shí)兩種實(shí)現(xiàn)的歸一化元件值。當(dāng) n偶數(shù)時(shí),在0 系統(tǒng)函數(shù)值為|ha(j0)| ,因而

43、用達(dá)林頓電路結(jié)構(gòu)實(shí)現(xiàn)時(shí) rl 不再等于信源內(nèi)阻只 rs,表 2-6-1 中211n偶數(shù)時(shí),歸一化負(fù)載電阻選為 rl/rs025。表表 2-6-1 切比雪夫 i 型低通原型濾波器歸一化元件值(1db 波紋)nrl/rs l1,c2,l3,c4,l5,c6,l7,11.00 1017720.2537779030013 31.001.002 2023602360 0994199412 20236023640.254569905428536800340651.00213491091130009109112134960.2547366057166024005764553530348671.0021666

44、111153093611735309361111521666nrl/rs c1, l2, c3,l4,c5,l6,c7,1db 波紋時(shí),紋波欺負(fù)參數(shù) 由公式|ha(jc)|=10-1/20得:=0.50885,阻帶衰減-151db 時(shí),根據(jù)本設(shè)計(jì)的頻率范圍,取通帶邊緣角頻率 c=212106,阻帶邊緣頻率 s=224106根據(jù)式 2-6-1 及 2-6-2 得:|ha(j)|=10-15/20及 tn(2)=10.8751,求的 n=2.34取 n=3,rs=100。根據(jù)表 2-6-1 求得各元件值:l1=rs/c*l1,=100/212106*2 2023602362.68uhc2=1/(c

45、* rs)* c2=1/(212106*100)* 0 099419941 132pfl3=rs/c*l3,=100/212106*2 2023602362.68uh具體電路如圖 2-6-1 所示 15圖 2-6-2第 3 章 軟件設(shè)計(jì)3.1、程序3.1.1、流程圖 圖 3-1-13.1.2、源程序本設(shè)計(jì)的程序主要功能是由單片機(jī)結(jié)合按鍵實(shí)現(xiàn)的,其主要子程序就是鍵盤掃描程序,鍵盤掃描程序及其相關(guān)注解如下:void keyscan() if(key1=0) /判斷鍵 1 是否按下 delayms(120); /延時(shí)消抖 if(key1=0) /二次判斷鍵是否按下 p2 加 1 系統(tǒng)初始化 開始 有

46、按鍵? 是p2 減 1 按鍵2 按鍵3 波形切換 是否按鍵4 波段切換 按鍵1 消抖 讀取鍵值 按鍵5 p2 復(fù)位 16 i+;p2=i; /n 加 1 if(key2=0) /判斷鍵 2 是否按下 delayms(120); /延時(shí)消抖 if(key2=0) /二次判斷鍵是否按下 i-;p2=i; /n 減 1 if(key3=0) /判斷鍵 3 是否按下 delayms(120); /延時(shí)消抖 if(key3=0) /二次判斷鍵是否按下 j+; p1=(boduank%3)+qiehuanj%3; /波形切換 if(key4=0) /判斷鍵 4 是否按下 delayms(120); /延時(shí)

47、消抖 if(key4=0) /二次判斷鍵是否按下 k+; p1=(boduank%3)+qiehuanj%3; /波段切換 if(key5=0) /判斷鍵 5 是否按下 delayms(120); /延時(shí)消抖 if(key5=0) /二次判斷鍵是否按下 i=0 x00; p2=i; /n 復(fù)位為 0 完整程序見附錄 iii 3.2、電路仿真(1)仿真電路圖如圖 3-2-1 所示(局部仿真),二極管用來指示部分引腳值的輸出變化,以便觀察。按下加減鍵,觀察輸出數(shù)據(jù)變化,記錄入表 3-2-1。按下波段切換,波形切換鍵,通過二極管觀察,i/o 輸出變化。 17仿真電路圖 3-2-1仿真數(shù)據(jù)記錄如表 3

48、-2-1 所示表 3-2-1數(shù)字信號(hào)輸出電流(ua)000000000.216425000000012.2099000000104.1662000000116.1422000001008.075440000010110.06020000011012.00770000011113.97490000100015.88790001000031.50120010000062.704701000000125.06610000000249.70311111111497.631數(shù)據(jù)分析:由上表的數(shù)據(jù)可知數(shù)字信號(hào)與電流成線性關(guān)系,由此可知方案可行。第 4 章、硬件調(diào)試4.1 制版(1) 、電路原理圖見附錄 i

49、。元件清單見附錄 ii(2) 、pcb 圖如圖 4-1 所示。 18圖 4-1 pcb 圖(3)元器件焊接:按圖 4-1 所示,制作電路板,并將元器件逐個(gè)焊接在印制電路板上,元器件引腳要盡量的短。4.2、硬件調(diào)試接好電路后,檢查電路無誤后,進(jìn)行調(diào)試。調(diào)試圖 4-2-1(1)連續(xù)調(diào)頻 改變電位器的電阻值,用示波器觀察電路輸出波形。按下 3 號(hào)按鍵,觀察波形的變化。切換對(duì)地電容,觀察頻率值的變化。波形圖如圖 4-2-2、4-2-3、4-2-4 所示。(2)步進(jìn)調(diào)頻 19將 d/a 轉(zhuǎn)換模塊的輸出端與信號(hào)發(fā)生模塊相連,改變數(shù)字信號(hào) n 值,觀察波形的變化,將數(shù)據(jù)記入表4-2-1 中,切換對(duì)地電容值,

50、改變數(shù)字信號(hào) n 值,并將數(shù)據(jù)記入表 4-2-1。波形圖如圖 4-2-2、4-2-3、4-2-4 所示。將數(shù)據(jù)生成圖表,如圖 4-2-5 所示。 圖 4-2-2 圖 4-2-3 圖 4-2-4 圖 4-2-5 表 4-2-1n f1(c=66)f2 (c=360p)f3(c=533p)f4(c=4300)1 85.515.6710.61.310174.832.0521.62.71127049.533.44.1100358.965.7944.45.5101447.181.9755.46.9110534.898.0466.28.2111619.6113.676.79.51000717.8131.6

51、88.811.011001795.2145.898.412.21010879.8161.3108.913.51011963.8176.7119.314.811001048.9192.3129.916.111011136.2208.3140.717.411101217.5223.2150.818.711111304.7239.2161.620.03100001398.5256.4173.221.5100011474.4270.3182.622.6 20100101567.6287.4194.124.06100111642.9301.2203.425.2101001726.3316.5213.82

52、6.5101011818333.3225.127.9101101907.4349.7236.229.3101111990.9365246.530.6110002066.1378.8255.831.7110012147.5393.726632.96110102235.3409.8276.834.3110112331.3427.4288.735.8111002413.6442.5298.937.05111012479.1454.5307.138.05111102572.9471.7318.639.5111112647.6485.4327.940.61000002755.1505.1341.242.310000005244.6961.5649.480.51000000011136.552041.71379.1170.91000010111404.811404.8211221121425.61425.6178.2178.2178.2178.2將鎖相環(huán)模塊也連接上,在觀察數(shù)據(jù),可以發(fā)現(xiàn)輸出頻率嚴(yán)格按照 n.fr變化(3) 、幅度測(cè)試將同相運(yùn)算放大模塊(lf357)與 max038 的輸出端相連調(diào)節(jié)電位器,觀察輸出電壓幅值變化情況。電壓峰峰值在 2v 至 12v 之間連續(xù)變化。(4)、數(shù)據(jù)分析、由表 4-2-1 及圖 4-2-5 可知,數(shù)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論