第8章_基于SOPC實(shí)現(xiàn)的數(shù)字信號(hào)處理實(shí)驗(yàn)_第1頁(yè)
第8章_基于SOPC實(shí)現(xiàn)的數(shù)字信號(hào)處理實(shí)驗(yàn)_第2頁(yè)
第8章_基于SOPC實(shí)現(xiàn)的數(shù)字信號(hào)處理實(shí)驗(yàn)_第3頁(yè)
第8章_基于SOPC實(shí)現(xiàn)的數(shù)字信號(hào)處理實(shí)驗(yàn)_第4頁(yè)
第8章_基于SOPC實(shí)現(xiàn)的數(shù)字信號(hào)處理實(shí)驗(yàn)_第5頁(yè)
已閱讀5頁(yè),還剩82頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、12內(nèi)容提要內(nèi)容提要3一、實(shí)驗(yàn)?zāi)康囊弧?shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求8.1 4熟悉熟悉SOPC設(shè)計(jì)流程設(shè)計(jì)流程熟悉利用熟悉利用Quartus的原理團(tuán)輸入方法設(shè)計(jì)的原理團(tuán)輸入方法設(shè)計(jì)簡(jiǎn)單組合電路簡(jiǎn)單組合電路學(xué)習(xí)加法器的設(shè)計(jì)學(xué)習(xí)加法器的設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康膶?shí)驗(yàn)?zāi)康? 本實(shí)驗(yàn)設(shè)計(jì)一個(gè)八位加法器,主要由兩個(gè)四位本實(shí)驗(yàn)設(shè)計(jì)一個(gè)八位加法器,主要由兩個(gè)四位加法器加法器7428374283構(gòu)成,加數(shù)構(gòu)成,加數(shù)A A的低的低4 4位和高位和高4 4位分別與兩位分別與兩個(gè)加法器的個(gè)加法器的A1-A4A1-A4輸入端連接。同樣加數(shù)輸入

2、端連接。同樣加數(shù)B B的低的低4 4位和位和高高4 4位分別與兩個(gè)加法器的位分別與兩個(gè)加法器的B1-B4B1-B4輸入端連接,即加輸入端連接,即加法器間的進(jìn)位可以串行實(shí)現(xiàn),將低位加法器的進(jìn)位法器間的進(jìn)位可以串行實(shí)現(xiàn),將低位加法器的進(jìn)位輸出輸出coutcout與高位加法器的最低進(jìn)位輸入信號(hào)與高位加法器的最低進(jìn)位輸入信號(hào)cincin相接。相接。由此加數(shù)由此加數(shù)A A和和B B有各種不同的組合,從而實(shí)現(xiàn)加法器有各種不同的組合,從而實(shí)現(xiàn)加法器的功能。的功能。二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理6選擇選擇File New Project Wizard,新建一個(gè)工程,新建一個(gè)工程。(1)(1)創(chuàng)建新的工程創(chuàng)建新的工程

3、三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟7 選擇選擇File New,在彈出的對(duì)話(huà)框中選中,在彈出的對(duì)話(huà)框中選中Block Diagram/Schematic File。(2)(2)原理圖輸入原理圖輸入 三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟8(3)(3)編譯編譯 選擇選擇Processing Start Compilation或者點(diǎn)擊工具欄里或者點(diǎn)擊工具欄里的的 對(duì)圖形文件進(jìn)行編譯。對(duì)圖形文件進(jìn)行編譯。三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟9(4)(4) 仿真仿真建立波形文件建立波形文件輸入信號(hào)節(jié)點(diǎn)輸入信號(hào)節(jié)點(diǎn)設(shè)置波形參數(shù)設(shè)置波形參數(shù)編輯輸入信號(hào)編輯輸入信號(hào)運(yùn)行仿真運(yùn)行仿真三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟10選擇選擇Tools RTL Vie

4、wer項(xiàng)觀(guān)看工程項(xiàng)目的項(xiàng)觀(guān)看工程項(xiàng)目的RTL級(jí)電路。級(jí)電路。(5)(5) RTL級(jí)電路級(jí)電路三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟11選擇選擇Assignments Assignment Editor項(xiàng),在編輯器窗項(xiàng),在編輯器窗的的Category欄中選擇欄中選擇Pin,鎖定引腳。,鎖定引腳。(6)(6) 引腳鎖定引腳鎖定三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟12執(zhí)行執(zhí)行Tools Programmer命令,設(shè)置編程方式為命令,設(shè)置編程方式為USB-BlasterUSB-0編編程方式,選擇程方式,選擇JTAG編程模式。執(zhí)行編程模式。執(zhí)行Processing Start,實(shí)現(xiàn)設(shè)計(jì)電路,實(shí)現(xiàn)設(shè)計(jì)電路到目標(biāo)芯片的編程下載。到目

5、標(biāo)芯片的編程下載。然后在然后在DE2開(kāi)發(fā)板上通過(guò)扳動(dòng)開(kāi)發(fā)板上通過(guò)扳動(dòng)SW15SW0電電平開(kāi)關(guān),組成加數(shù)平開(kāi)關(guān),組成加數(shù)A和加數(shù)和加數(shù)B的不同組合,在紅色發(fā)光二極管的不同組合,在紅色發(fā)光二極管LEDR7LEDR0和和LEDR8上觀(guān)察上觀(guān)察A數(shù)和數(shù)和B數(shù)相加的和數(shù)與向高位的進(jìn)位數(shù)相加的和數(shù)與向高位的進(jìn)位COUT的的結(jié)果。結(jié)果。(7)(7) 編程下載及硬件驗(yàn)證編程下載及硬件驗(yàn)證三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟13四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題若想用若想用LED顯示加法器的輸出結(jié)果,原理圖應(yīng)該有那些顯示加法器的輸出結(jié)果,原理圖應(yīng)該有那些地方需要修改?應(yīng)該怎樣設(shè)置引腳?地方需要修改?應(yīng)該怎樣設(shè)置引腳? 五、實(shí)驗(yàn)報(bào)

6、告要求五、實(shí)驗(yàn)報(bào)告要求1.1.寫(xiě)出寫(xiě)出Quartus II進(jìn)行圖形編輯輸入方法的完整設(shè)計(jì)流程進(jìn)行圖形編輯輸入方法的完整設(shè)計(jì)流程2.2.參考參考Quartus II的的Help,詳細(xì)說(shuō)明,詳細(xì)說(shuō)明Assignments菜單中菜單中的的Settings對(duì)話(huà)框的功能;概述對(duì)話(huà)框的功能;概述Assignments菜單中的菜單中的Assignment Editor的功能,舉例說(shuō)明;的功能,舉例說(shuō)明;3.3.簡(jiǎn)述設(shè)計(jì)步驟和調(diào)試過(guò)程,包括圖形編輯文件,引腳簡(jiǎn)述設(shè)計(jì)步驟和調(diào)試過(guò)程,包括圖形編輯文件,引腳功能的定義文件,仿真結(jié)果;功能的定義文件,仿真結(jié)果;4.4.簡(jiǎn)要回答思考題。簡(jiǎn)要回答思考題。 8.1 148

7、.2 正弦信號(hào)發(fā)生器設(shè)計(jì)正弦信號(hào)發(fā)生器設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求15熟悉熟悉SOPC設(shè)計(jì)流程設(shè)計(jì)流程熟悉利用熟悉利用Quartus的的文本輸入方法設(shè)計(jì)簡(jiǎn)單正文本輸入方法設(shè)計(jì)簡(jiǎn)單正弦信號(hào)發(fā)生器電路弦信號(hào)發(fā)生器電路原理原理掌握嵌入式存儲(chǔ)器的應(yīng)用以及使用嵌入式邏輯掌握嵌入式存儲(chǔ)器的應(yīng)用以及使用嵌入式邏輯分析儀進(jìn)行測(cè)試的方法分析儀進(jìn)行測(cè)試的方法 學(xué)習(xí)宏功能模塊實(shí)例化輸入和簡(jiǎn)單程序的調(diào)試學(xué)習(xí)宏功能模塊實(shí)例化輸入和簡(jiǎn)單程序的調(diào)試 一、實(shí)驗(yàn)?zāi)康膶?shí)驗(yàn)?zāi)康?6 頂層文件頂層文件sinewave.vhd在

8、在FPGA中實(shí)現(xiàn)中實(shí)現(xiàn)ROM地址信號(hào)發(fā)地址信號(hào)發(fā)生器的由一個(gè)生器的由一個(gè)6位計(jì)數(shù)器,正弦數(shù)據(jù)存放在位計(jì)數(shù)器,正弦數(shù)據(jù)存放在ROM中。數(shù)據(jù)中。數(shù)據(jù)ROM由由LPM_ROM模塊實(shí)現(xiàn),其底層是模塊實(shí)現(xiàn),其底層是FPGA中的中的M4K。地址發(fā)生器的時(shí)鐘地址發(fā)生器的時(shí)鐘CLK的輸入頻率與每周期的波形數(shù)據(jù)點(diǎn)數(shù)的輸入頻率與每周期的波形數(shù)據(jù)點(diǎn)數(shù)以及以及D/A輸出頻率的關(guān)系是輸出頻率的關(guān)系是 。640ff二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理17創(chuàng)建工程,選擇目標(biāo)芯片,采用文本輸入方法,編輯輸入創(chuàng)建工程,選擇目標(biāo)芯片,采用文本輸入方法,編輯輸入設(shè)計(jì)頂層文件設(shè)計(jì)頂層文件sinewave.vhd。 (1)(1) 創(chuàng)建新的工程創(chuàng)建

9、新的工程 三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟18 ROM初始化數(shù)據(jù)文件有兩種:初始化數(shù)據(jù)文件有兩種:Memory Initialization File(.mif)格式和)格式和Hexadecimal(Intel-Format)File(.hex)格式。)格式。(2)(2) 定制定制ROM初始化數(shù)據(jù)文件初始化數(shù)據(jù)文件實(shí)現(xiàn)方法選擇File New Other Files Memory Initialization File,將波形數(shù)據(jù)填入此表中,即.mif數(shù)據(jù)。利用C程序編輯產(chǎn)生.mif文件或利用匯編程序編輯,然后用單片機(jī)ASM編輯器產(chǎn)生.hex格式文件 利用Dspbuilder/Matlab的工具來(lái)產(chǎn)生

10、,在其數(shù)據(jù)文件目錄中就可以找到.mif和.hex格式文件 三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟19 Tools-Mega Wizard Plug-In Manager項(xiàng),在產(chǎn)生的對(duì)話(huà)框的左欄中選擇storage/LPM_ROM,再選擇Cyclone器件和VHDL語(yǔ)言方式,最后輸入ROM文件的存放路徑。(3)(3) 定制定制ROM元件元件選擇地址線(xiàn)數(shù)據(jù)位寬及地址鎖存信號(hào)三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟20指定路徑上的數(shù)據(jù)初始化文件.hex,完成ROM定制(3)(3) 定制定制ROM元件元件三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟21(4)(4) 編譯編譯三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟22(5)(5) 仿真及仿真及RTL級(jí)電路級(jí)電路仿真結(jié)

11、果RTL級(jí)電路三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟23(6)(6) 引腳鎖定和編程下載引腳鎖定和編程下載三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟24 步驟步驟 1、 創(chuàng)建創(chuàng)建SignalTap II(ToolsSignalTap II Logic analyzer )2、調(diào)入待測(cè)信號(hào)、調(diào)入待測(cè)信號(hào)(雙擊Node Finder窗口,添加觀(guān)測(cè)信號(hào))3、參數(shù)設(shè)置、參數(shù)設(shè)置(時(shí)鐘信號(hào)、采樣深度 、觸發(fā)條件 、觸發(fā)信號(hào)和觸發(fā)方式 )4、 SignalTap II文件存盤(pán)文件存盤(pán)(File Save As )5、編譯下載、編譯下載(再次啟動(dòng)全程編譯 )6、啟動(dòng)、啟動(dòng)SignalTap II(Instance Manager欄中點(diǎn)擊單

12、步或連續(xù)運(yùn)行按鈕 )(7)(7) 使用嵌入式邏輯分析儀進(jìn)行實(shí)時(shí)測(cè)試使用嵌入式邏輯分析儀進(jìn)行實(shí)時(shí)測(cè)試 三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟25SignalTap II信號(hào)觀(guān)察窗口信號(hào)觀(guān)察窗口 (8)(8) 測(cè)試結(jié)果測(cè)試結(jié)果 三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟26編輯波形數(shù)據(jù),查看模擬輸出結(jié)果編輯波形數(shù)據(jù),查看模擬輸出結(jié)果(8)(8) 測(cè)試結(jié)果測(cè)試結(jié)果 三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟27分析SignalTap II采樣得到如圖所示的波形圖的原因。 四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題281.寫(xiě)出Quartus II進(jìn)行文本輸入方法的完整設(shè)計(jì)流程2.簡(jiǎn)述定制LMP功能模塊的基本過(guò)程3.寫(xiě)出結(jié)論和心得體會(huì)4.簡(jiǎn)要回答思考題 五、 實(shí)驗(yàn)報(bào)

13、告要求實(shí)驗(yàn)報(bào)告要求298.3 快速傅里葉變換的實(shí)現(xiàn)快速傅里葉變換的實(shí)現(xiàn)一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康亩?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求30了解基2 FFT實(shí)現(xiàn)原理;掌握用文本輸入法進(jìn)行旋轉(zhuǎn)因子和蝶形處理器的設(shè)計(jì); 學(xué)習(xí)使用Quartus II實(shí)現(xiàn)FFT的基本方法與步驟。 一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康?1本實(shí)驗(yàn)采用按時(shí)間抽?。╠ecimation in time)的基2快速傅立葉算法(基2DIT-FFT)?;? FFT蝶形處理器的實(shí)現(xiàn)需要一個(gè)復(fù)數(shù)加法器、一個(gè)復(fù)數(shù)減法器和一個(gè)旋轉(zhuǎn)因子乘法器。 二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理32旋轉(zhuǎn)因子乘法器

14、的實(shí)現(xiàn)旋轉(zhuǎn)因子乘法器的實(shí)現(xiàn)旋轉(zhuǎn)因子的乘法器通常由旋轉(zhuǎn)因子的乘法器通常由4次實(shí)數(shù)乘法和次實(shí)數(shù)乘法和6次實(shí)數(shù)加次實(shí)數(shù)加/減法減法運(yùn)算實(shí)現(xiàn),但經(jīng)過(guò)運(yùn)算的簡(jiǎn)化,可以只用運(yùn)算實(shí)現(xiàn),但經(jīng)過(guò)運(yùn)算的簡(jiǎn)化,可以只用3次實(shí)數(shù)乘法和次實(shí)數(shù)乘法和3次實(shí)數(shù)加次實(shí)數(shù)加/減法運(yùn)算實(shí)現(xiàn)復(fù)數(shù)乘法器。減法運(yùn)算實(shí)現(xiàn)復(fù)數(shù)乘法器。 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案33設(shè)復(fù)數(shù)旋轉(zhuǎn)因子乘法R+jI=(X+jY)(C+jS),因?yàn)镃和S可以預(yù)先計(jì)算的,并可以?xún)?chǔ)存在一個(gè)表中。所以可以?xún)?chǔ)存下面的三個(gè)系數(shù): C、C+S、C-S有了這3個(gè)預(yù)先計(jì)算的因子,可以首先計(jì)算: E=X-Y和Z=C*E=C* (X-Y)然后用: R=(C-S)*Y+Z I=(C+S)

15、*X-Z計(jì)算最后的乘積。旋轉(zhuǎn)因子算法旋轉(zhuǎn)因子算法34蝶形處理單元的實(shí)現(xiàn)蝶形處理單元的實(shí)現(xiàn)蝶形運(yùn)算單元是蝶形運(yùn)算單元是FFT處理器的基本單元,用來(lái)計(jì)算兩點(diǎn)處理器的基本單元,用來(lái)計(jì)算兩點(diǎn)的的FFT。由于蝶形運(yùn)算單元是由一個(gè)復(fù)數(shù)加法器、一個(gè)。由于蝶形運(yùn)算單元是由一個(gè)復(fù)數(shù)加法器、一個(gè)復(fù)數(shù)減法器和一個(gè)旋轉(zhuǎn)因子復(fù)數(shù)乘法器組成,基復(fù)數(shù)減法器和一個(gè)旋轉(zhuǎn)因子復(fù)數(shù)乘法器組成,基-2FFT蝶蝶形運(yùn)算單元的形運(yùn)算單元的VHDL代碼如下。從代碼中可以看出,蝶代碼如下。從代碼中可以看出,蝶形處理器是由一個(gè)加法器、一個(gè)減法器和一個(gè)實(shí)例化為形處理器是由一個(gè)加法器、一個(gè)減法器和一個(gè)實(shí)例化為組件的旋轉(zhuǎn)因子乘法器實(shí)現(xiàn)的。組件的旋轉(zhuǎn)

16、因子乘法器實(shí)現(xiàn)的。35-基2-FFT蝶形運(yùn)算單元的VHDL代碼實(shí)現(xiàn)LIBRARY Lpm;USE Lpm.Lpm_components.ALL;LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;PACKAGE mul_Package IS -User defined componentsCOMPONENT multiplierGENERIC (W2: INTEGER: =17; -multiplier bit width W1: INTEGER: =9; -Bit width c+s sum W: INT

17、EGER: =8); -Input bit widthPORT ( clk: IN STD_LOGIC; x_in, y_in, c_in: IN STD_LOGIC_VECTOR (W - 1 downto 0); -inputscas_in, css_in: IN STD_LOGIC_VECTOR (W1 - 1 downto 0);-inputsr_out, i_out: OUT STD_LOGIC_VECTOR (W - 1 downto 0);-resultsEND COMPONENT;END mul_package;LIBRARY work;USE work.mul_package

18、.ALL;LIBRARY lpm;USE Lpm.Lpm_components.ALL; LIBRARY ieee;旋轉(zhuǎn)因子旋轉(zhuǎn)因子VHDL代碼代碼36USE ieee.std_logic_1164.ALL;USE ieee.std_logic_arith.ALL;ENTITY bf ISGENERIC (W2: INTEGER: =17; -multiplier bit width W1: INTEGER: =9; -Bit width c+s sum W: INTEGER: =8); -Input bit widthPORT ( clk :IN STD_LOGIC;Are_in, Aim_

19、in, Bre_in, Bim_in, c_in : IN STD_LOGIC_VECTOR (W - 1 downto 0);cas_in, css_in : IN STD_LOGIC_VECTOR (W1 - 1 downto 0);Dre_out, Dim_out, Ere_out, Eim_out: OUT STD_LOGIC_VECTOR (W - 1 downto 0);END bf;Dim_out=Dim;Ere_out=Ere;Eim_outW2, W1=W1, W=W)PORT MAP (clk=clk, x_in=Bre_in, y_in=Bim_in, c_in=c_in

20、, cas_in=cas_in, css_in=css_in, r_out=r, i_out=i);sub_1:lpm_add_subGENERIC MAP (LPM_WIDTH=W, LPM_DIRECTION=SUB, LPM_REPRESENTATION=SIGNED)PORT MAP (dataa=Are_in, datab=r, result=Dre);sub_2:lpm_add_subGENERIC MAP (LPM_WIDTH=W, LPM_DIRECTION=SUB, LPM_REPRESENTATION=SIGNED)PORT MAP (dataa=Aim_in, datab

21、=i, result=Dim);add_1:lpm_add_subGENERIC MAP (LPM_WIDTH=W, LPM_DIRECTION=ADD,LPM_REPRESENTATION=SIGNED)PORT MAP (dataa=Are_in, datab=r, result=Ere);add_2:lpm_add_subGENERIC MAP (LPM_WIDTH=W, LPM_DIRECTION=ADD,LPM_REPRESENTATION=SIGNED)PORT MAP (dataa=Aim_in, datab=i, result=Eim);PROCESSBEGINWAIT UNT

22、IL clkevent and clk=1;Dre_out=Dre;38旋轉(zhuǎn)因子仿真結(jié)果旋轉(zhuǎn)因子仿真結(jié)果計(jì)算仿真結(jié)果計(jì)算仿真結(jié)果39計(jì)算仿真結(jié)果計(jì)算仿真結(jié)果基基2FFT的仿真結(jié)果的仿真結(jié)果40利用Matlab對(duì)本實(shí)驗(yàn)的結(jié)果驗(yàn)證在Matlab中輸入: p= 20+30i, 50+45i; y= fft2(p) disp(y)計(jì)算結(jié)果是: y =70.0000 +75.0000i -30.0000 -15.0000i即對(duì)輸入A=20+j30、B=50+j45進(jìn)行FFT結(jié)果為40+j 60,與仿真結(jié)果20+ j 30對(duì)比,結(jié)果是相符的。結(jié)果驗(yàn)證結(jié)果驗(yàn)證41四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題分析Matlab

23、結(jié)果與QuartusII仿真結(jié)果為什么不同?五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求 簡(jiǎn)述實(shí)驗(yàn)原理及關(guān)鍵步驟。 總結(jié)實(shí)驗(yàn)所得主要結(jié)論。 總結(jié)調(diào)試時(shí)遇到的問(wèn)題以及解決方案。 簡(jiǎn)要回答實(shí)驗(yàn)思考題。 8.3 快速傅里葉變換的實(shí)現(xiàn)快速傅里葉變換的實(shí)現(xiàn)428.4 直接直接I I型型FIRFIR數(shù)字濾波器設(shè)計(jì)數(shù)字濾波器設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求43了解FIR數(shù)字濾波器的基本原理; 熟悉DSP Builder/ Matlab 的SOPC設(shè)計(jì)流程;學(xué)習(xí)使用Matlab/ Simulink、ModelSim以及

24、Quartus II設(shè)計(jì)仿真FIR的基本方法與步驟。 一、實(shí)驗(yàn)?zāi)康囊弧?shí)驗(yàn)?zāi)康?4 二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理直接直接I型型5階階FIR濾波器濾波器信號(hào)流圖信號(hào)流圖( )(0) ( )(1) (1)(2) (2)(3) (3)(4) (4)(5) (5)h nhx nhx nhx nhx nhx nhx n45建立Simulink模型 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案46系統(tǒng)級(jí)仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案可以看出設(shè)計(jì)的低通濾波器的濾波效果非常好47ModelSim波形仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案使用ModelSim進(jìn)行RTL仿真 48使用ModelSim進(jìn)行RTL仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案Mod

25、elSim模擬輸出結(jié)果 49使用Quatus II進(jìn)行時(shí)序仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案Quatus II時(shí)序仿真結(jié)果 501. 使用ModelSim進(jìn)行功能仿真時(shí),對(duì)于輸入輸出信號(hào),在屬性對(duì)話(huà)框應(yīng)設(shè)置怎樣的參數(shù)參能較好的觀(guān)察模擬波形?2. 利用DSP Builder安裝路徑DSP Builderdesign examples demos Filters DA32中給出的32階固定系數(shù)FIR濾波器文件AltrFir32.mdl,利用各種信號(hào)源進(jìn)行仿真測(cè)試,并對(duì)測(cè)試結(jié)果進(jìn)行分析。(注:DAFIRDistributed Arithmetic FIR) 四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題511.說(shuō)明Mat

26、lab、DSP Builder、ModelSim和Quartus II間的關(guān)系。2.說(shuō)明手動(dòng)流程中能完成那幾個(gè)層次的方針,各有什么作用?3.根據(jù)以上實(shí)驗(yàn)要求和實(shí)驗(yàn)內(nèi)容,記錄并分析所以實(shí)驗(yàn)結(jié)果。 五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求528.5 基于基于DADA算法的算法的FIRFIR濾波器的設(shè)計(jì)濾波器的設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求53學(xué)習(xí)分布式算法; 學(xué)習(xí)分布式算法應(yīng)用于FIR濾波器設(shè)計(jì);進(jìn)一步掌握Quartus II進(jìn)行仿真的基本方法與步驟。 一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康?4 二、實(shí)驗(yàn)原理二、實(shí)

27、驗(yàn)原理 分布式算法算法(distributed arithmetic,DA)是一項(xiàng)重要的FPGA技術(shù),廣泛應(yīng)用與乘積和中。 進(jìn)一步假設(shè)系數(shù)cn是已知常數(shù),xn是變量。無(wú)符號(hào)DA系統(tǒng)架設(shè)變量xn的表達(dá)式為: xn表示x的第n次采樣,內(nèi)積y可以表示為:11002( , )BNbbbnyf c n x n 10 2 , 0,1Bbbbbx nx nx n10, 0 01 1 .1 1Nnycxc n x ncxc xc Nx N55DA算法的主要特點(diǎn)是:算法的主要特點(diǎn)是:巧妙地利用ROM查找表將固定系數(shù)的乘-累加(multiply-accumulate,MAC)運(yùn)算轉(zhuǎn)化為查表操作,運(yùn)算速度不隨系數(shù)和

28、輸入數(shù)據(jù)位數(shù)的增加而降低,且相對(duì)直接實(shí)現(xiàn)乘法器而言在硬件規(guī)模上得到了極大的改善。分布式算法分布式算法 FIR實(shí)現(xiàn)實(shí)現(xiàn) 二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理56對(duì)頂層文件VHDL代碼進(jìn)行編譯、仿真,結(jié)果如下: 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案57 四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題考慮有符號(hào)的DA FIR濾波器的實(shí)現(xiàn)方法。五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求u 根據(jù)以上實(shí)驗(yàn)原理和方案,掌握根據(jù)以上實(shí)驗(yàn)原理和方案,掌握DA算法的應(yīng)用;算法的應(yīng)用;u 記錄并分析所有試驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告記錄并分析所有試驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告。 8.5 基于基于DADA算法的算法的FIRFIR濾波器的設(shè)計(jì)濾波器的設(shè)計(jì)588.6 直接直接IIII型型

29、IIRIIR數(shù)字濾波器設(shè)計(jì)數(shù)字濾波器設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康亩?、?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求59了解IIR數(shù)字濾波器的基本原理; 掌握基于DSP Builder的SOPC設(shè)計(jì)流程;學(xué)習(xí)使用Matlab/ Simulink、ModelSim以及Quartus II設(shè)計(jì)仿真IIR的基本方法與步驟。 一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康?0 二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理直接直接II型型4階階IIR濾波器濾波器信號(hào)流圖信號(hào)流圖 123412340.050.200.310.200.051 1.951.740.720.12zzzzH zzzz

30、z0011221,0.05;1.95,0.20;1.74,0.31;ababab 33440.72,0.20;0.12,0.05abab 61建立建立Simulink模型模型 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案62建立Simulink模型 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案63系統(tǒng)級(jí)仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案設(shè)計(jì)的IIR濾波器的濾波效果非常好64ModelSim波形仿真波形仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案使用ModelSim進(jìn)行RTL仿真 65使用ModelSim進(jìn)行RTL仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案ModelSim模擬輸出模擬輸出 66使用Quatus II進(jìn)行時(shí)序仿真 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案Quatus

31、 II時(shí)序仿真結(jié)果 67 四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題簡(jiǎn)述FIR與IIR濾波器的區(qū)別。五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求u 完成一個(gè)完成一個(gè)4階級(jí)聯(lián)型階級(jí)聯(lián)型IIR濾波器的設(shè)計(jì);濾波器的設(shè)計(jì);u 根據(jù)設(shè)計(jì)原理和方案,設(shè)置模型中各模塊參數(shù);根據(jù)設(shè)計(jì)原理和方案,設(shè)置模型中各模塊參數(shù);u 記錄并分析所有試驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告記錄并分析所有試驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告。 8.6 直接直接IIII型的型的IIRIIR濾波器的設(shè)計(jì)濾波器的設(shè)計(jì)688.7 預(yù)先考慮算法并行預(yù)先考慮算法并行IIRIR數(shù)字濾波器設(shè)計(jì)數(shù)字濾波器設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康亩?shí)驗(yàn)原理二、實(shí)驗(yàn)原理三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案四、實(shí)驗(yàn)思考題四、實(shí)

32、驗(yàn)思考題五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求69了解有耗積分器的基本原理; 掌握IIR系統(tǒng)的差分方程;學(xué)習(xí)預(yù)先考慮算法并行IIR濾波器的設(shè)計(jì)方法。 一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康?0 二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理有耗積分器有耗積分器31 4y ny nx n預(yù)先考慮算預(yù)先考慮算法的有耗積法的有耗積分器分器2211 1y nay nbx na y nabx nbx n71 二、實(shí)驗(yàn)原理二、實(shí)驗(yàn)原理兩通道并行兩通道并行IIR濾波器濾波器22222 2 2122121212 yka ykaxkxky nyka ykaxkxk72預(yù)先考慮算法的并行IIR濾波器實(shí)現(xiàn)的頂層文件VHDL代碼,進(jìn)行綜合編譯,建立仿真文件,

33、設(shè)置仿真參數(shù),查看仿真結(jié)果 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案并行并行IIR濾濾波器對(duì)脈沖波器對(duì)脈沖1000響應(yīng)的響應(yīng)的VHDL仿真仿真 73 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案并行并行IIR濾波器對(duì)階躍濾波器對(duì)階躍100響應(yīng)的響應(yīng)的VHDL仿真仿真 74應(yīng)用Matlab/DSP Builder集合Simulink其他庫(kù)中的元件,建立有耗積分器的模型。 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案75分別選擇脈沖和階躍激勵(lì),設(shè)置與實(shí)驗(yàn)方案對(duì)應(yīng)的參數(shù),進(jìn)行仿真,查看系統(tǒng)級(jí)仿真結(jié)果。 三、實(shí)驗(yàn)方案三、實(shí)驗(yàn)方案脈沖響應(yīng) 階躍響應(yīng)76 四、實(shí)驗(yàn)思考題四、實(shí)驗(yàn)思考題簡(jiǎn)述并行實(shí)現(xiàn)IIR濾波器的優(yōu)點(diǎn)與缺點(diǎn)。五、實(shí)驗(yàn)報(bào)告要求五、實(shí)驗(yàn)報(bào)告要求u 根據(jù)以上原理和方案,驗(yàn)證有耗積分器和預(yù)先考根據(jù)以上原理和方案,驗(yàn)證有耗積分器和預(yù)先考慮的有耗積分器算法速度的差別;慮的有耗積分器算法速度的差別;u 記錄并分析所有試驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告記錄并分析所有試驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告。 8.7 預(yù)先考慮

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論