電子秒表課程設(shè)計(jì)_第1頁(yè)
電子秒表課程設(shè)計(jì)_第2頁(yè)
電子秒表課程設(shè)計(jì)_第3頁(yè)
電子秒表課程設(shè)計(jì)_第4頁(yè)
電子秒表課程設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 電子秒表摘 要 電子秒表是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,無(wú)機(jī)械裝置,具有較長(zhǎng)的使用壽命,因此得到了廣泛的使用。它從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時(shí)序電路。本次實(shí)驗(yàn)所做電子式秒表由信號(hào)發(fā)生系統(tǒng)和計(jì)時(shí)系統(tǒng)構(gòu)成,并具有清零,暫停功能。由于需要比較穩(wěn)定的信號(hào),所以信號(hào)發(fā)生系統(tǒng)555定時(shí)器與電阻和電容組成的多諧振蕩器構(gòu)成,信號(hào)頻率為100HZ。計(jì)時(shí)系統(tǒng)由計(jì)數(shù)器、譯碼器、顯示器組成。計(jì)數(shù)器由74 LS160構(gòu)成,由十進(jìn)制計(jì)數(shù)器組成了一百進(jìn)制和六十進(jìn)制計(jì)數(shù)器,采用異步進(jìn)位方式。譯碼器由74LS48構(gòu)成,顯示器由數(shù)碼管構(gòu)成。清零,暫停功能由RS觸發(fā)器構(gòu)成防抖動(dòng)開(kāi)關(guān)。具體

2、過(guò)程為:由晶體震蕩器產(chǎn)生100HZ脈沖信號(hào)先進(jìn)入計(jì)數(shù)器,然后傳入譯碼器,將4位信號(hào)轉(zhuǎn)化為數(shù)碼管可顯示的7位信號(hào),結(jié)果以“分”、“秒”、“10毫秒”依次在數(shù)碼管顯示出來(lái)。該秒表最大計(jì)時(shí)值為59分59.99秒, “10毫秒”為一百進(jìn)制計(jì)數(shù)器組成,“分”和“秒”為六十進(jìn)制計(jì)數(shù)器組成。關(guān)鍵詞:計(jì)時(shí) 精度 計(jì)數(shù)器 顯示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not hav

3、e mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared p

4、ause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the dec

5、imal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the

6、incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary c

7、ounter, "minute" and "second" is the six decimal counter.Keyword:Timing accuracy counter display目 錄一 設(shè)計(jì)任務(wù)與要求1二 方案設(shè)計(jì)與論證1三 單元電路設(shè)計(jì)與參數(shù)計(jì)算6(1)信號(hào)發(fā)生器單元電路6 (2)計(jì)數(shù)器單元.9(3)顯示及譯碼單元電路12(4)控制單元電路14四 總原理圖及元件清單15五 結(jié)論與心得 .17六 參考文獻(xiàn).18一、設(shè)計(jì)任務(wù)與要求用74系列數(shù)字器件設(shè)計(jì)一個(gè)電子秒表,要求:1. 以0.01秒為最小單位進(jìn)行顯示。2. 秒表可顯示0.01秒到60

8、分鐘的量程。3. 該秒表具有清零、開(kāi)始計(jì)時(shí)、停止計(jì)時(shí)功能,并能防抖動(dòng)。二、方案設(shè)計(jì)與論證電子秒表實(shí)際上是一個(gè)頻率(100HZ)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于秒表計(jì)數(shù)的需要,故要在電路上加一個(gè)控制電路,該控制電路清零、啟動(dòng)計(jì)時(shí)、暫停及繼續(xù)計(jì)數(shù)等控制功能,同時(shí)需要一個(gè)分頻電路把100kHZ分成100HZ的時(shí)間信號(hào)達(dá)到準(zhǔn)確穩(wěn)定。通常使用石英晶體振蕩器電路構(gòu)成數(shù)字鐘。數(shù)字電子鐘的總體圖如下圖1所示。由圖可見(jiàn),數(shù)字電子鐘由以下幾部分組成:555振蕩器和分頻器組成的秒脈沖發(fā)生器;秒表控制開(kāi)關(guān);一百進(jìn)制秒、六十進(jìn)制分計(jì)數(shù)器和六十進(jìn)制秒計(jì)數(shù)器;以及秒、分的譯碼顯示部分等圖1 總體設(shè)計(jì)方案框圖圖1中,各單元電路的工作

9、原理圖下:(1) 信號(hào)發(fā)生器:選擇信號(hào)發(fā)生器時(shí),有兩種方案:一種是用晶體振蕩器,另一種方案是采用集成電路555定時(shí)器與電阻和電容組成的多諧振蕩器。石英晶振蕩器精度很高,一般都需要多級(jí)分頻。本次設(shè)計(jì)選用555定時(shí)器。(2)顯示電路:電子秒表,需要顯示數(shù)字,根據(jù)設(shè)計(jì)要求,要用數(shù)碼管來(lái)做顯示器。題目要求最大記數(shù)值為59分59.99秒,則需要一個(gè)8段數(shù)碼管作為秒位(有小數(shù)點(diǎn))和五個(gè)7段數(shù)碼管作為分秒位。要求計(jì)數(shù)分辨率為0.0 1秒,那么我們需要相應(yīng)頻率的信號(hào)發(fā)生器。 (3)計(jì)數(shù)器:秒表核心部分計(jì)數(shù)器,此次選擇74LS160計(jì)數(shù)器。它具有同步置數(shù)和異步清零功能。主要是利用它可以十分頻的功能。計(jì)數(shù)脈沖是由

10、555定時(shí)器構(gòu)成的多諧振蕩器,產(chǎn)生100赫茲脈沖。如果精度要求高,也可采用石英振蕩器。(4)譯碼器:在選擇譯碼器的時(shí)候,有多種選擇,如74LS47,74LS48等4-7線譯碼器。如果選擇7447,則用來(lái)驅(qū)動(dòng)共陰極數(shù)碼管;如果選擇7448,則用來(lái)驅(qū)動(dòng)共陰極數(shù)碼管。在選擇數(shù)碼顯示管時(shí),可以利用六個(gè)數(shù)碼管;也可以借鑒簡(jiǎn)易數(shù)字頻率計(jì)中的四位數(shù)碼管來(lái)顯示后四位,再用兩個(gè)數(shù)碼管顯示分鐘的兩位。本次設(shè)計(jì)中選擇前一種方法。(5)控制電路: 用集成與非門構(gòu)成基本RS觸發(fā)器,屬低電平直接觸發(fā)的觸發(fā)器,有直接置位、復(fù)位、暫停的功能,并能防抖動(dòng)。3、 單元電路設(shè)計(jì)與參數(shù)計(jì)算 本次課設(shè)中,我主要承擔(dān)了信號(hào)發(fā)生器、計(jì)數(shù)器

11、等單元電路的設(shè)計(jì)及仿真,以及PCB板的設(shè)計(jì)等任務(wù),先將其內(nèi)容詳細(xì)介紹如下: 1.信號(hào)發(fā)生器單元電路1.1用555定時(shí)器構(gòu)成方波發(fā)生器(1)555定時(shí)器引腳排列及功能表 圖2 555定時(shí)器引腳排列1腳:外接電源負(fù)端VSS或接地,一般情況下接地。8腳:外接電源VCC,雙極型時(shí)基電路VCC的范圍是4.5 16V,CMOS型時(shí)基電路VCC的范圍為3 18V。一般用5V。3腳:輸出端Vo2腳:低觸發(fā)端6腳:TH高觸發(fā)端4腳:是直接清零端。當(dāng)端接低電平,則時(shí)基電路不工作,此時(shí)不論、TH處于何電平,時(shí)基電路輸出為“0”,該端不用時(shí)應(yīng)接高電平。5腳:VC為控制電壓端。若此端外接電壓,則可改變內(nèi)部?jī)蓚€(gè)比較器的基

12、準(zhǔn)電壓,當(dāng)該端不用時(shí),應(yīng)將該端串入一只0.01F電容接地,以防引入干擾。7腳:放電端。該端與放電管集電極相連,用做定時(shí)器時(shí)電容的放電。(2)用555定時(shí)器構(gòu)成方波發(fā)生器電路如下圖所示。其中 T1=(R1+R2)C1ln2 為充電時(shí)間 T2=R1C1ln2 為放電時(shí)間T=T1+T2=(R2+2R1)C1ln2 為脈沖周期F=1/T 為振蕩頻率經(jīng)過(guò)計(jì)算并實(shí)際調(diào)整,方案為R2=10K,R1=100K, c1=100納法。在實(shí)踐中,如果用示波器觀察到頻率不正確,可調(diào)整R2來(lái)改變頻率,減小誤差。圖3 555定時(shí)器構(gòu)成方波發(fā)生器muitisim仿真電路調(diào)節(jié)R2使得多諧振蕩器的輸出為100Hz時(shí)鐘脈沖,并接

13、集成芯片74LS00(SA)的2號(hào)管腳,而SA的1號(hào)管腳則接暫停/繼續(xù)按鈕, 暫停/繼續(xù)按鈕通過(guò)高低電平的轉(zhuǎn)換以及74LS00的與邏輯運(yùn)算實(shí)現(xiàn)對(duì)時(shí)鐘脈沖CP的封鎖與開(kāi)通控制,而其他電路不受其影響。74LS00的3號(hào)管腳輸出接至U1(最低位十進(jìn)制計(jì)數(shù)器74LS160)的時(shí)鐘輸入端作為時(shí)鐘分頻計(jì)數(shù)的基本時(shí)鐘。在muitisim中仿真結(jié)果為: 圖4 仿真結(jié)果波形圖2時(shí)鐘分頻計(jì)數(shù)單元電路(1)時(shí)鐘脈沖分頻計(jì)數(shù)總體部分:首先由十進(jìn)制模塊通過(guò)串行計(jì)數(shù)組成100分頻電路,因?yàn)?4LS160是同步十進(jìn)制計(jì)數(shù)器,在Q3Q0輸出端為1001(即9)時(shí),其進(jìn)位端TC同時(shí)由0變?yōu)?,設(shè)計(jì)過(guò)程中采用的是置數(shù)清零法,而集

14、成芯片74LS160為同步置數(shù),此處如果TC直接接入下一級(jí)的時(shí)鐘輸入端,則會(huì)發(fā)生本位數(shù)字為9,而它的高位數(shù)字已經(jīng)進(jìn)位的現(xiàn)象。要消除這種現(xiàn)象則可以在TC端與下一級(jí)的時(shí)鐘端之間接入一個(gè)非門,使得TC輸出反相,在本位輸出進(jìn)位脈沖時(shí),其高位時(shí)鐘接收到的為時(shí)鐘的無(wú)效邊沿(下降沿),而在本位自然清零時(shí),高位才會(huì)接收到一有效時(shí)鐘邊沿(上升沿),從而達(dá)到正確進(jìn)位的目的。而六十進(jìn)制與下級(jí)模塊的級(jí)連,由于六進(jìn)制模塊在實(shí)現(xiàn)過(guò)程中已經(jīng)接入了一個(gè)74LS00的與非門,故其輸出不必再接非門,而是從該輸出端接至高位時(shí)鐘脈沖端。集成芯片74LS160,其管腳排列如圖所示。圖5 74LS160管腳排列表2引腳功能如下表所示:輸

15、入輸出MRPECETCEPCLKP3P2P1P0Q3Q2Q1Q00XXXXXXXX000010XX  D3D2D1D0D3D2D1D01111  XXXX計(jì)數(shù)110XXXXXX保持11X0XXXXX保持(2)由集成芯片74LS160構(gòu)成十分頻器74LS160本身即為同步十進(jìn)制計(jì)數(shù)器,用以構(gòu)成十分頻器直接使用其進(jìn)位輸出端即可,需要注意的是,在級(jí)聯(lián)過(guò)程中,因?yàn)?4LS160計(jì)數(shù)過(guò)程為上升沿有效,而進(jìn)位輸出時(shí)CO端是由0變1,為上升沿,要使計(jì)數(shù)狀態(tài)不缺失,需在CO與下一級(jí)的連接中串入一個(gè)非門。如下圖所示:圖6 十分頻器電路圖(3) 使用芯片74LS160構(gòu)成6進(jìn)制計(jì)數(shù)器由74LS

16、160組成的六分頻電路如下圖所示電路,給CLK以點(diǎn)動(dòng)單脈沖或頻率較低的連續(xù)脈沖,Q端接發(fā)光二極管,觀察發(fā)光二極管的狀態(tài)。同時(shí)進(jìn)位輸出端接發(fā)光二極管,觀察并記錄現(xiàn)象,看是否為六進(jìn)制輸出。判斷其正確性與可靠性,經(jīng)驗(yàn)證該電路動(dòng)作可靠,輸出正確。圖7 6進(jìn)制計(jì)數(shù)器電路圖(4)由十分頻電路及六分頻電路組成一百分頻及六十分頻電路一百分頻電路如下圖所示: 兩級(jí)十分頻電路串聯(lián),中間通過(guò)74LS04的一個(gè)非門把進(jìn)位輸出端的時(shí)鐘信號(hào)送入高位的時(shí)鐘輸入端CLK,實(shí)現(xiàn)準(zhǔn)確的串行進(jìn)位控制,清零控制端并接,接到復(fù)位/開(kāi)始控制按鈕,實(shí)現(xiàn)控制。 圖8 一百分頻電路圖六十分頻電路如下圖所示:一級(jí)十分頻電路與一級(jí)六分頻電路串聯(lián),

17、形成串行進(jìn)位計(jì)數(shù),其內(nèi)部級(jí)聯(lián)與一百進(jìn)制相同,時(shí)鐘脈沖均為低位的進(jìn)位端通過(guò)一非門接至高位的CLK端。清零控制端并接,接到復(fù)位/開(kāi)始控制按鈕,實(shí)現(xiàn)控制。圖9 六十分頻電路圖總體計(jì)數(shù)電路圖為: 74LS160各引腳功能如下 圖11 74LS160引腳功能表 由上圖我們可以得到最終的總體計(jì)數(shù)器各引腳輸出波形圖為: 圖12 74LS160引腳輸出波形圖一百進(jìn)制和六十進(jìn)制計(jì)數(shù)器之間、六十進(jìn)制和一百進(jìn)制之間的接法如下圖13所示。 圖13 總體計(jì)數(shù)電路圖最終仿真結(jié)果為: 以及: 下面對(duì)其他單元電路介紹如下:3顯示及譯碼單元電路譯碼驅(qū)動(dòng)電路(74LS47、74LS48)及七段顯示數(shù)碼管(1)七段顯示數(shù)碼管 實(shí)際

18、工作中常采用發(fā)光二極管型七段顯示數(shù)碼管來(lái)直觀地顯示數(shù)字。它的數(shù)字形式如下圖所示:圖14 七段顯示數(shù)碼管數(shù)碼管的每一段是一個(gè)發(fā)光二極管,按發(fā)光二極管的連接方式可分為共陰極和共陰極兩種。 共陰極二極管的公共端接正電源(高電平),a、b、c、d、e、f、g中接低電平則發(fā)光,因此成為低電平有效。共陰極的公共端接地(低電平),a、b、c、d、e、f、g接高電平則發(fā)光,即高電平有效。(2)七段譯碼驅(qū)動(dòng)電路 在七段譯碼驅(qū)動(dòng)電路中,對(duì)應(yīng)于不同類型數(shù)碼管有不同的驅(qū)動(dòng)芯片,驅(qū)動(dòng)共陰極數(shù)碼管用共陰極驅(qū)動(dòng)器(如74LS47),驅(qū)動(dòng)共陰極數(shù)碼管用共陰極驅(qū)動(dòng)器(如74LS48)。驅(qū)動(dòng)電路如下圖所示(其中74LS48的34

19、5管腳均接高電平): 圖15 七段譯碼驅(qū)動(dòng)電路在這里我們采用74LS48D和RPACK來(lái)構(gòu)成譯碼部分,譯碼器與數(shù)碼管匹配電路的仿真圖如下圖16 譯碼電路圖4. 控制電路(1) 基本RS觸發(fā)器用集成與非門構(gòu)成基本RS觸發(fā)器,屬低電平直接觸發(fā)的觸發(fā)器,有直接置位、復(fù)位的功能。它的一路輸出作為單穩(wěn)態(tài)觸發(fā)器的輸入,另一路輸出作為與非門的輸入控制信號(hào)。 按動(dòng)按鈕開(kāi)關(guān)J1(接地),則門1輸出 =1;門2輸出Q=0,J1復(fù)位后Q、 狀態(tài)保持不變。再按動(dòng)按鈕開(kāi)關(guān)J2,則Q由0變?yōu)?,門5開(kāi)啟,為計(jì)數(shù)器啟動(dòng)作好準(zhǔn)備。由1變?yōu)?,送出負(fù)脈沖,啟動(dòng)單穩(wěn)態(tài)觸發(fā)器工作?;綬S觸發(fā)器在電子秒表中的職能是啟動(dòng)和停止秒表的

20、工作.圖12 RS觸發(fā)器電路(3)單穩(wěn)態(tài)觸發(fā)器圖13 單穩(wěn)態(tài)觸發(fā)器電路用集成與非門構(gòu)成的微分型單穩(wěn)態(tài)觸發(fā)器。單穩(wěn)態(tài)觸發(fā)器的輸入觸發(fā)負(fù)脈沖信號(hào)Vi由基本RS觸發(fā)器 端提供,輸出負(fù)脈沖Vo通過(guò)非門加到計(jì)數(shù)器的清除端R。靜態(tài)時(shí),門4應(yīng)處于截止?fàn)顟B(tài),故電阻R必須小于們的關(guān)門電阻Roff。定時(shí)元件RC取值不同,輸出脈沖寬度也不同。當(dāng)觸發(fā)脈沖寬度小于輸出脈沖寬度時(shí),可以省去輸入微分電路的Rp和Cp。單穩(wěn)態(tài)觸發(fā)器在電子秒表中的職能是為計(jì)數(shù)器提供清零信號(hào)。4、 總原理圖及元器件清單 (1) 總體原理圖如圖14,其中由于譯碼電路仿真結(jié)果不理想,故實(shí)際仿真電路沒(méi)有采用。 圖14 總體原理圖 (2) 總體原理說(shuō)明:

21、在仿真軟件上接通電源1.合上復(fù)位電路的開(kāi)關(guān),是電路在工作之前先清零。電子秒表處于復(fù)位狀態(tài)。2.當(dāng)?shù)谝淮伟磩?dòng)開(kāi)關(guān)K,產(chǎn)生第一個(gè)單脈沖作為基本RS觸發(fā)器的時(shí)鐘,使三狀態(tài)控制電路的輸出端Q1產(chǎn)生高電平,經(jīng)與非門后,使0.01秒脈沖進(jìn)入計(jì)數(shù)器計(jì)數(shù),并譯碼、顯示出來(lái)。 3.當(dāng)?shù)诙伟磩?dòng)開(kāi)關(guān)K,產(chǎn)生第二個(gè)單脈沖使三狀態(tài)控制電路輸出端Q1輸出低電平Q2輸出高電平,關(guān)閉與非門,使計(jì)數(shù)停止。 4.當(dāng)需要復(fù)位清零時(shí),按動(dòng)復(fù)位電路的開(kāi)關(guān)K。電路即處于復(fù)位狀態(tài)。 5.再按動(dòng)控制電路開(kāi)關(guān)K時(shí),電子秒表又進(jìn)入計(jì)數(shù)狀態(tài)。(3)元器件清單序 號(hào)名 稱 型號(hào)參數(shù)封裝數(shù)量備注1R1,R3,R4,R5RPACK_VARIABLE_2*7,180AXIAL0.342R7,R8RPACK_VARIABLE_2*8,180AXIAL0.323U7,U8,U9,U10,U11,U12,U20,U21,U2274LS160DDIP1694U15A,U24A74LS00DDIP1415U14A74LS04DDIP1416U25555_TIME_RATEDVR517R2AXIAL0.31100K8R6AXIAL0.315.1K9C5RAD0.220.01uF10C6RAD0.21uF(4)PCB圖五、結(jié)論與心得課程設(shè)計(jì)已經(jīng)結(jié)束,方案和結(jié)果都讓我們比較滿意,完成了所有的設(shè)計(jì)要求。在這次課題設(shè)計(jì)中,我進(jìn)行不斷的研究與探索而成的。

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論