基于單片機(jī)的超聲波測(cè)距系統(tǒng)_第1頁
基于單片機(jī)的超聲波測(cè)距系統(tǒng)_第2頁
基于單片機(jī)的超聲波測(cè)距系統(tǒng)_第3頁
基于單片機(jī)的超聲波測(cè)距系統(tǒng)_第4頁
基于單片機(jī)的超聲波測(cè)距系統(tǒng)_第5頁
已閱讀5頁,還剩20頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、專業(yè)綜合性與創(chuàng)新性實(shí)驗(yàn)報(bào)告基于單片機(jī)的超聲波測(cè)距系統(tǒng) 學(xué) 院: 交通運(yùn)輸工程學(xué)院 班 級(jí): 交通試驗(yàn)1101 作 者: 陳凱 指導(dǎo)教師: 肖友剛 時(shí) 間: 2014.7.1 摘要STC89C52是STC系列單片機(jī)里應(yīng)用比較廣泛的一款,在自動(dòng)控制領(lǐng)域里享有很高的價(jià)值,以其易用性和多功能性受到了廣大電子設(shè)計(jì)愛好者的好評(píng)。本次設(shè)計(jì)主要是利用STC89C52單片機(jī)、超聲波傳感器完成測(cè)距報(bào)警系統(tǒng)的制作,以STC89C52為主控芯片,利用超聲波對(duì)距離的檢測(cè),將前方物體的距離探測(cè)出來,然后單片機(jī)處理運(yùn)算,與設(shè)定的報(bào)警距離值進(jìn)行比較判斷,當(dāng)測(cè)得距離小于設(shè)定值時(shí),STC89C52發(fā)出指令控制蜂鳴器報(bào)警。關(guān)鍵詞:

2、超聲波傳感器 STC89C52 1 緒論1.1 項(xiàng)目研究背景及意義 由于超聲測(cè)距是一種非接觸檢測(cè)技術(shù),不受光線、被測(cè)對(duì)象顏色等的影響,較其它儀器更衛(wèi)生,更耐潮濕、粉塵、高溫、腐蝕氣體等惡劣環(huán)境,具有少維護(hù)、不污染、高可靠、長壽命等特點(diǎn)。因此可廣泛應(yīng)用于紙業(yè)、礦業(yè)、電廠、化工業(yè)、水處理廠、污水處理廠、農(nóng)業(yè)用水、環(huán)保檢測(cè)、食品(酒業(yè)、飲料業(yè)、添加劑、食用油、奶制品)、防汛、水文、明渠、空間定位、公路限高等行業(yè)中。可在不同環(huán)境中進(jìn)行距離準(zhǔn)確度在線標(biāo)定,可直接用于水、酒、糖、飲料等液位控制,可進(jìn)行差值設(shè)定,直接顯示各種液位罐的液位、料位高度。因此,超聲在空氣中測(cè)距在特殊環(huán)境下有較廣泛的應(yīng)用。利用超聲波

3、檢測(cè)往往比較迅速、方便、計(jì)算簡(jiǎn)單、易于實(shí)現(xiàn)實(shí)時(shí)控制,并且在測(cè)量精度方面能達(dá)到工業(yè)實(shí)用的指標(biāo)要求,因此為了使移動(dòng)機(jī)器人能夠自動(dòng)躲避障礙物行走,就必須裝備測(cè)距系統(tǒng),以使其及時(shí)獲取距障礙物的位置信息(距離和方向)。因此超聲波測(cè)距在移動(dòng)機(jī)器人的研究上得到了廣泛的應(yīng)用。同時(shí)由于超聲波測(cè)距系統(tǒng)具有以上的這些優(yōu)點(diǎn),因此在汽車倒車?yán)走_(dá)的研制方面也得到了廣泛的應(yīng)用。2 總體設(shè)計(jì)方案及論證2.1 總體方案設(shè)計(jì) 本設(shè)計(jì)包括硬件和軟件設(shè)計(jì)兩個(gè)部分。模塊劃分為數(shù)據(jù)采集、按鍵控制、四位數(shù)碼管顯示、報(bào)警等子模塊。電路結(jié)構(gòu)可劃分為:超聲波傳感器、蜂鳴器、單片機(jī)控制電路。就此設(shè)計(jì)的核心模塊來說,單片機(jī)就是設(shè)計(jì)的中心單元,所以此

4、系統(tǒng)也是單片機(jī)應(yīng)用系統(tǒng)的一種應(yīng)用。單片機(jī)應(yīng)用系統(tǒng)也是有硬件和軟件組成。硬件包括單片機(jī)、輸入/輸出設(shè)備、以及外圍應(yīng)用電路等組成的系統(tǒng),軟件是各種工作程序的總稱。單片機(jī)應(yīng)用系統(tǒng)的研制過程包括總體設(shè)計(jì)、硬件設(shè)計(jì)、軟件設(shè)計(jì)等幾個(gè)階段。系統(tǒng)采用STC89C52單片機(jī)作為核心控制單元,當(dāng)測(cè)得的距離小于設(shè)定距離時(shí),主控芯片將測(cè)得的數(shù)值與設(shè)定值進(jìn)行比較處理。然后控制蜂鳴器報(bào)警。系統(tǒng)總體的設(shè)計(jì)方框圖如圖1所示。電源STC89C52主控制器模塊超聲波傳感器模塊按鍵控制4位數(shù)碼管顯示模塊蜂鳴器報(bào)警模塊圖1 系統(tǒng)方框圖 3 硬件實(shí)現(xiàn)及單元電路設(shè)計(jì)3.1 主控制模塊主控制最小系統(tǒng)電路如圖2所示。 圖2 最小系統(tǒng)硬件電路

5、總設(shè)計(jì)見圖3,從以上的分析可知在本設(shè)計(jì)中要用到如下器件: STC89C52、超聲波傳感器、按鍵、四位數(shù)碼管、蜂鳴器等一些單片機(jī)外圍應(yīng)用電路。其中D1為電源工作指示燈。電路中用到3個(gè)按鍵,一個(gè)是設(shè)定鍵, 一個(gè)加鍵,一個(gè)減鍵。圖3 總設(shè)計(jì)電路圖3.2 電源設(shè)計(jì)電源部分的設(shè)計(jì)采用3節(jié)5號(hào)干電池4.5V供電。3.3 超聲波測(cè)試模塊 超聲波模塊采用現(xiàn)成的超聲波模塊,該模塊可提供 2cm-400cm 的非接觸式距離感測(cè)功能,測(cè)距精度可達(dá)高到 3mm。模塊包括超聲波發(fā)射器、接收器與控制電路?;竟ぷ髟恚翰捎?IO 口 TRIG 觸發(fā)測(cè)距,給至少 10us 的高電平信號(hào);模塊自動(dòng)發(fā)送 8 個(gè) 40khz 的

6、方波,自動(dòng)檢測(cè)是否有信號(hào)返回;有信號(hào)返回,通過 IO 口 ECHO 輸出一個(gè)高電平,高電平持續(xù)的時(shí)間就是超聲波從發(fā)射到返回的時(shí)間。測(cè)試距離=(高電平時(shí)間*聲速(340M/S)/2。其中VCC 供5V 電源,GND 為地線,TRIG 觸發(fā)控制信號(hào)輸入,ECHO 回響信號(hào)輸出等四支線。超聲波探測(cè)模塊HC-SR04的使用方法如下:IO口觸發(fā),給TRIG口至少10us的高電平,啟動(dòng)測(cè)量;模塊自動(dòng)發(fā)送8個(gè)40Khz的方波,自動(dòng)檢測(cè)是否有信號(hào)返回;有信號(hào)返回,通過IO口Echo輸出一個(gè)高電平,高電平持續(xù)的時(shí)間就是超聲波從發(fā)射到返回的時(shí)間,測(cè)試距離=(高電平時(shí)間*340)/ 2,單位為m。程序中測(cè)試功能主要

7、由兩個(gè)函數(shù)完成。 實(shí)現(xiàn)中采用定時(shí)器0進(jìn)行定時(shí)測(cè)量,8分頻,TCNTT0預(yù)設(shè)值0XCE,當(dāng)timer0溢出中斷發(fā)生2500次時(shí)為125ms,計(jì)算公式為(單位:ms):T = (定時(shí)器0溢出次數(shù) * (0XFF - 0XCE)/ 1000 其中定時(shí)器0初值計(jì)算依據(jù)分頻不同而有差異。3.3.1 超聲波換能器完成產(chǎn)生超聲波和接收超聲波這種功能的裝置就是超聲波傳感器,習(xí)慣上稱為超聲換能器,或者超聲波探頭。超聲波探頭主要由壓電晶片組成,既可以發(fā)射超聲波,也可以接收超聲波。小功率超聲探頭多用作探測(cè)方面。它有許多不同的結(jié)構(gòu),可分直探頭(縱波)、斜探頭(橫波)、表面波探頭(表面波)、蘭姆波探頭(蘭姆波)、雙探頭

8、(一個(gè)探頭反射、一個(gè)探頭接收)等。超聲探頭的核心是其塑料外套或者金屬外套中的一塊壓電晶片。構(gòu)成晶片的材料可以有許多種。由于晶片的大小,如直徑和厚度也各不相同,因此每個(gè)探頭的性能都是不同的,我們使用前必須預(yù)先了解清楚該探頭的性能參數(shù)。超聲波傳感器的主要性能指標(biāo)包括:(1)工作頻率。工作頻率就是壓電晶片的共振頻率。當(dāng)加到它兩端的交流電壓的頻率和晶片的共振頻率相等時(shí),輸出的能量最大,靈敏度也最高。(2)工作溫度。由于壓電材料的居里點(diǎn)一般比較高,特別時(shí)診斷用超聲波探頭使用功率較小,所以工作溫度比較低,可以長時(shí)間地工作而不失效。醫(yī)療用的超聲探頭的溫度比較高,需要單獨(dú)的制冷設(shè)備。(3)靈敏度。主要取決于制

9、造晶片本身。機(jī)電耦合系數(shù)大,靈敏度高。人類能聽到的聲音頻率范圍為:20Hz20kHz,即為可聽聲波,超出此頻率范圍的聲音,即20Hz以下頻率的聲音稱為低頻聲波,20kHz以上頻率的聲音稱為超聲波。超聲波為直線傳播方式,頻率越高,繞射能力越弱,但反射能力越強(qiáng)。為此,利用超聲波的這種性能就可制成超聲波傳感器。另外,超聲波在空氣中的傳播速度較慢,為340ms,這就使得超聲波傳感器使用變得非常簡(jiǎn)便。我們選用壓電式超聲波傳感器。它的探頭常用材料是壓電晶體和壓電陶瓷,是利用壓電材料的壓電效應(yīng)來進(jìn)行工作的。逆壓電效應(yīng)將高頻電振動(dòng)轉(zhuǎn)換成高頻機(jī)械振動(dòng),從而產(chǎn)生超聲波,可作為發(fā)射探頭;而利用正壓電效應(yīng),將超聲振動(dòng)

10、波轉(zhuǎn)換成電信號(hào),可作為接收探頭。為了研究和利用超聲波,人們已經(jīng)設(shè)計(jì)和制成了許多種超聲波發(fā)生器。總體上講,超聲波發(fā)生器大體可以分為兩大類:一類是用電氣方式產(chǎn)生超聲波,一類是用機(jī)械方式產(chǎn)生超聲波。電氣方式包括壓電型、磁致伸縮型和電動(dòng)型等;機(jī)械方式有加爾統(tǒng)笛、液哨和氣流旋笛等。它們所產(chǎn)生的超聲波的頻率、功率和聲波特性各不相同,因而用途也各不相同。目前較為常用的是壓電式超聲波發(fā)生器。 圖6 超聲波傳感器結(jié)構(gòu) 壓電式超聲波發(fā)生器實(shí)際上是利用壓電晶體的諧振來工作的。超聲波發(fā)生器內(nèi)部結(jié)構(gòu)如圖所示,它有兩個(gè)壓電晶片和一個(gè)共振板。當(dāng)它的兩極外加脈沖信號(hào),其頻率等于壓電晶片的固有振蕩頻率時(shí),壓電晶片將會(huì)發(fā)生共振,

11、并帶動(dòng)共振板振動(dòng),便產(chǎn)生超聲波。反之,如果兩電極間未外加電壓,當(dāng)共振板接收到超聲波時(shí),將壓迫壓電晶片作振動(dòng),將機(jī)械能轉(zhuǎn)換為電信號(hào),這時(shí)它就成為超聲波接收器了。如圖6所示。3.4 測(cè)距分析超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射時(shí)刻的同時(shí)開始計(jì)時(shí),超聲波在空氣中傳播,途中碰到障礙物就立即返回來,超聲波接收器收到反射波就立即停止計(jì)時(shí)。超聲波在空氣中的傳播速度為340m/s,根據(jù)計(jì)時(shí)器記錄的時(shí)間t,就可以計(jì)算出發(fā)射點(diǎn)距障礙物的距離(s),即:s=340t/2最常用的超聲測(cè)距的方法是回聲探測(cè)法,超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射時(shí)刻的同時(shí)計(jì)數(shù)器開始計(jì)時(shí),超聲波在空氣中傳播,途中碰到障礙物面阻擋就

12、立即反射回來,超聲波接收器收到反射回的超聲波就立即停止計(jì)時(shí)。超聲波在空氣中的傳播速度為340m/s,根據(jù)計(jì)時(shí)器記錄的時(shí)間t,就可以計(jì)算出發(fā)射點(diǎn)距障礙物面的距離s,即:s=340t/2。 由于超聲波也是一種聲波,其聲速V與溫度有關(guān)。在使用時(shí),如果傳播介質(zhì)溫度變化不大,則可近似認(rèn)為超聲波速度在傳播的過程中是基本不變的。如果對(duì)測(cè)距精度要求很高,則應(yīng)通過溫度補(bǔ)償?shù)姆椒▽?duì)測(cè)量結(jié)果加以數(shù)值校正。聲速確定后,只要測(cè)得超聲波往返的時(shí)間,即可求得距離。這就是超聲波測(cè)距儀的基本原理。如圖12所示: 超聲波發(fā)射 障礙物 S H 超聲波接收?qǐng)D12 超聲波的測(cè)距原理 (3-1) (3-2)式中:L-兩探頭之間中心距離的

13、一半.又知道超聲波傳播的距離為: (3-3)式中:v超聲波在介質(zhì)中的傳播速度; t超聲波從發(fā)射到接收所需要的時(shí)間.將(32)、(33)代入(3-1)中得: (3-4)其中,超聲波的傳播速度v在一定的溫度下是一個(gè)常數(shù)(例如在溫度T=30度時(shí),V=349m/s);當(dāng)需要測(cè)量的距離H遠(yuǎn)遠(yuǎn)大于L時(shí),則(34)變?yōu)? (3-5) 所以,只要需要測(cè)量出超聲波傳播的時(shí)間t,就可以得出測(cè)量的距離H.3.5 時(shí)鐘電路的設(shè)計(jì)XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。因?yàn)橐粋€(gè)機(jī)器周期含有6個(gè)狀態(tài)周期,

14、而每個(gè)狀態(tài)周期為2個(gè)振蕩周期,所以一個(gè)機(jī)器周期共有12個(gè)振蕩周期,如果外接石英晶體振蕩器的振蕩頻率為12MHZ,一個(gè)振蕩周期為1/12us,故而一個(gè)機(jī)器周期為1us。如圖13所示為時(shí)鐘電路。圖13 時(shí)鐘電路圖3.6 復(fù)位電路的設(shè)計(jì)復(fù)位方法一般有上電自動(dòng)復(fù)位和外部按鍵手動(dòng)復(fù)位,單片機(jī)在時(shí)鐘電路工作以后, 在RESET端持續(xù)給出2個(gè)機(jī)器周期的高電平時(shí)就可以完成復(fù)位操作。例如使用晶振頻率為12MHz時(shí),則復(fù)位信號(hào)持續(xù)時(shí)間應(yīng)不小于2us。本設(shè)計(jì)采用的是自動(dòng)復(fù)位電路。如圖14示為復(fù)位電路。圖14 復(fù)位電路圖3.7 聲音報(bào)警電路的設(shè)計(jì)如下圖所示,用一個(gè)蜂鳴器和三極管、電阻接到單片機(jī)的P13引腳上,構(gòu)成聲音

15、報(bào)警電路,如圖15示為聲音報(bào)警電路。圖15 聲音報(bào)警電路圖3.8 顯示模塊顯示模塊采用數(shù)碼管顯示接口電路如圖16圖16 數(shù)碼管電路4 軟件設(shè)計(jì)4.1 主程序工作流程圖按上述工作原理和硬件結(jié)構(gòu)分析可知系統(tǒng)主程序工作流程圖如下圖17所示;超聲波探測(cè)程序流程圖:圖19 超聲波探測(cè)程序流程圖圖17 主程序工作流程圖超聲波發(fā)射器向某一方向發(fā)射超聲波,在發(fā)射時(shí)刻的同時(shí)計(jì)數(shù)器開始計(jì)時(shí),超聲波在空氣中傳播,途中碰到障礙物面阻擋就立即反射回來,超聲波接收器收到反射回的超聲波就立即停止計(jì)時(shí)。超聲波在空氣中的傳播速度為340m/s,根據(jù)計(jì)時(shí)器記錄的時(shí)間t,就可以計(jì)算出發(fā)射點(diǎn)距障礙物面的距離s,即:s=340t/2。

16、系統(tǒng)初始化報(bào)警結(jié)束測(cè)得距離與設(shè)定值比較,小于距離比較,報(bào)警是否持續(xù)開始啟動(dòng)報(bào)警電路開始報(bào)警再次檢測(cè)等待下次報(bào)警結(jié)束YNNYYN5 總結(jié)本設(shè)計(jì)研究了一種基于單片機(jī)技術(shù)的超聲波智能測(cè)距報(bào)警系統(tǒng)。該系統(tǒng)通過以STC89C52單片機(jī)為工作處理器核心,超聲波傳感器,它是一種新穎的被動(dòng)式超聲波探測(cè)器件,能夠以非接觸測(cè)出前方物體距離,并將其轉(zhuǎn)化為相應(yīng)的電信號(hào)輸出.該報(bào)警器的最大特點(diǎn)就是使用戶能夠操作簡(jiǎn)單、易懂、靈活;且安裝方便、智能性高、誤報(bào)率低。隨著現(xiàn)代人們安全意識(shí)的增強(qiáng)以及科學(xué)技術(shù)的快速發(fā)展,相信報(bào)警器必將在更廣闊的領(lǐng)域得到更深層次的應(yīng)用。6 參考文獻(xiàn) 1 吳政江. 單片機(jī)控制紅外線防盜報(bào)警器J. 錦州

17、師范學(xué)院學(xué)報(bào), 2001.2 余錫存. 單片機(jī)原理及接口技術(shù)M. 西安: 西安電子科技大學(xué)出版社, 2000.3 李全利. 單片機(jī)原理及接口技術(shù)M. 北京: 北京航空航天大學(xué)出版社, 2004.4 薛均義, 張彥斌. MCS-51系列單片微型計(jì)算機(jī)及其應(yīng)用M. 西安: 西安交通大學(xué)出版社, 2005.5 徐愛鈞, 彭秀華. 單片機(jī)高級(jí)語言C51應(yīng)用程序設(shè)計(jì)M. 北京: 北京航空航天大學(xué)出版社, 2006.附 錄附件1附件2:#include <reg52.h>#include <intrins.h>#include "eepom52.h"#defin

18、e uchar unsigned char #define uint unsigned int/數(shù)碼管段選定義 0 1 2 3 4 5 6 7 8 9uchar code smg_du=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90, 0x88,0x83,0xc6,0xa1,0x86,0x8e,0xff; /斷碼/數(shù)碼管位選定義uchar code smg_we=0xe0,0xd0,0xb0,0x70;uchar dis_smg8 =0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8;sbit smg_we1 =

19、P34; /數(shù)碼管位選定義sbit smg_we2 = P35;sbit smg_we3 = P36;sbit smg_we4 = P37;sbit c_send = P32;/超聲波發(fā)射sbit c_recive = P33;/超聲波接收uchar flag_hc_value; /超聲波中間變量sbit beep = P23; /蜂鳴器IO口定義bit flag_key_b_en,flag_key_set_en; /按鍵蜂鳴器使能uchar smg_i = 3; /顯示數(shù)碼管的個(gè)位數(shù)bit flag_300ms ;bit key_500ms ;long distance; /距離uint s

20、et_d; /距離bit flag_csb_juli; /超聲波超出量程uint flag_time0; /用來保存定時(shí)器0的時(shí)候的/ 按鍵的IO變量的定義uchar key_can; /按鍵值的變量uchar zd_break_en,zd_break_value; /自動(dòng)退出設(shè)置界面uchar menu_shudu = 10; /用來控制連加的速度bit flag_lj_en; /按鍵連加使能bit flag_lj_3_en; /按鍵連3次連加后使能 加的數(shù)就越大了 uchar key_time,flag_value; /用做連加的中間變量uchar menu_1; /菜單設(shè)計(jì)的變量ucha

21、r a_a;/*1ms延時(shí)函數(shù)*/void delay_1ms(uint q)uint i,j;for(i=0;i<q;i+)for(j=0;j<120;j+);/*處理距離函數(shù)*/void smg_display()dis_smg0 = smg_dudistance % 10;dis_smg1 = smg_dudistance / 10 % 10;dis_smg2 = smg_dudistance / 100 % 10 & 0x7f;/*把數(shù)據(jù)保存到單片機(jī)內(nèi)部eepom中*/void write_eepom()SectorErase(0x2000);byte_write(

22、0x2000, set_d % 256);byte_write(0x2001, set_d / 256);byte_write(0x2058, a_a);/*把數(shù)據(jù)從單片機(jī)內(nèi)部eepom中讀出來*/void read_eepom()set_d = byte_read(0x2001);set_d <<= 8;set_d |= byte_read(0x2000);a_a = byte_read(0x2058);/*開機(jī)自檢eepom初始化*/void init_eepom()read_eepom();/先讀if(a_a != 1)/新的單片機(jī)初始單片機(jī)內(nèi)問EEPOMset_d = 10

23、0;a_a = 1;write_eepom();/*獨(dú)立按鍵處理函數(shù)*/void key()static uchar key_new = 0,key_old = 0,key_value = 0;if(key_new = 0) /按鍵松開的時(shí)候做松手檢測(cè)if(P2 & 0x07) = 0x07)key_value +;else key_value = 0;if(key_value >= 5)key_value = 0;key_new = 1;flag_lj_en = 0;/關(guān)閉連加使能flag_lj_3_en = 0;/關(guān)閉3秒后使能flag_value = 0;/清零key_ti

24、me = 0;write_eepom();else if(P2 & 0x07) != 0x07)key_value +; /按鍵按下的時(shí)候else key_value = 0;if(key_value >= 5)key_value = 0;key_new = 0;flag_lj_en = 1; /連加使能zd_break_en = 1; /自動(dòng)退出設(shè)置界使能zd_break_value = 0; /自動(dòng)退出設(shè)置界變量清零flag_key_b_en = 1; /按鍵蜂鳴器使能key_can = 20;if(key_500ms = 1)/連加key_500ms = 0;key_new

25、 = 0;key_old = 1;zd_break_value = 0;if(key_new = 0) && (key_old = 1)switch(P2 & 0x07)case 0x06: key_can = 3; break; /得到k2鍵值case 0x05: key_can = 2; break; /得到k3鍵值case 0x03: key_can = 1; break; /得到k4鍵值/dis_smg3 = smg_dukey_can % 10; key_old = key_new; void smg_we_switch(uchar i)switch(i)ca

26、se 0: smg_we1 = 0; smg_we2 = 1; smg_we3 = 1; smg_we4 = 1; break;case 1: smg_we1 = 1; smg_we2 = 0; smg_we3 = 1; smg_we4 = 1; break;case 2: smg_we1 = 1; smg_we2 = 1; smg_we3 = 0; smg_we4 = 1; break;case 3: smg_we1 = 1; smg_we2 = 1; smg_we3 = 1; smg_we4 = 0; break;/*數(shù)碼顯示函數(shù)*/void display()static uchar i

27、; i+;if(i >= smg_i)i = 0;/P1 = 0xff; /段選 /P3 = 0xf0 | (P3 & 0x0f); /位選/P3 = smg_wei | (P3 & 0x0f); /位選smg_we_switch(i);P1 = dis_smgi; /段選 void delay()_nop_(); /執(zhí)行一條_nop_()指令就是1us_nop_(); _nop_(); _nop_(); _nop_(); _nop_(); _nop_(); /*超聲波測(cè)距程序*/void send_wave()c_send = 1; /10us的高電平觸發(fā) delay(

28、);c_send = 0; TH0 = 0; /給定時(shí)器0清零TL0 = 0;TR0 = 0; /關(guān)定時(shí)器0定時(shí)flag_hc_value = 0;while(!c_recive); /當(dāng)c_recive為零時(shí)等待TR0=1;while(c_recive) /當(dāng)c_recive為1計(jì)數(shù)并等待flag_time0 = TH0 * 256 + TL0;if(flag_hc_value > 1) | (flag_time0 > 65000) /當(dāng)超聲波超過測(cè)量范圍時(shí),顯示3個(gè)888TR0 = 0;flag_csb_juli = 2;distance = 888;flag_hc_value

29、 = 0;break ;else flag_csb_juli = 1;if(flag_csb_juli = 1)TR0=0; /關(guān)定時(shí)器0定時(shí)distance = TH0; /讀出定時(shí)器0的時(shí)間distance = distance * 256 + TL0;distance +=( flag_hc_value * 65536);/算出超聲波測(cè)距的時(shí)間 得到單位是msdistance *= 0.017; / 0.017 = 340M / 2 = 170M = 0.017M 算出來是米if(distance > 350) /距離 = 速度 * 時(shí)間distance = 888; /如果大于3

30、.8m就超出超聲波的量程 /*定時(shí)器0、定時(shí)器1初始化*/void time_init() EA = 1; /開總中斷TMOD = 0X11; /定時(shí)器0、定時(shí)器1工作方式1ET0 = 1; /開定時(shí)器0中斷 TR0 = 1; /允許定時(shí)器0定時(shí)ET1 = 1; /開定時(shí)器1中斷 TR1 = 1; /允許定時(shí)器1定時(shí)/*按鍵處理數(shù)碼管顯示函數(shù)*/void key_with()if(key_can = 1)menu_1 +;if(menu_1 >= 2)menu_1 = 0;if(menu_1 = 0)menu_shudu = 20;dis_smg0 = smg_dudistance %

31、10; dis_smg1 = smg_dudistance / 10 % 10 ; dis_smg2 = smg_dudistance / 100 % 10 & 0x7f; smg_i = 3;if(menu_1 = 1)menu_shudu = 1;dis_smg0 = smg_duset_d % 10; dis_smg1 = smg_duset_d / 10 % 10; dis_smg2 = smg_duset_d / 100 % 10 & 0x7f ; dis_smg3 = 0x88;smg_i = 4;if(menu_1 = 1)/設(shè)置高溫報(bào)警if(flag_lj_3_

32、en = 0) /三次連加之后速度加快menu_shudu = 10 ; /500ms 加減一次else menu_shudu = 1; /250ms 加減一次if(key_can = 2)set_d + ;/按鍵按下未松開自動(dòng)加三次if(set_d > 350)set_d = 350;dis_smg0 = smg_duset_d % 10; /取小數(shù)顯示dis_smg1 = smg_duset_d / 10 % 10 ; /取個(gè)位顯示dis_smg2 = smg_duset_d / 100 % 10 & 0x7f; /取十位顯示dis_smg3 = 0x88; /aif(key

33、_can = 3)set_d - ;/按鍵按下未松開自動(dòng)加三次if(set_d <= 1)set_d = 1;dis_smg0 = smg_duset_d % 10; /取小數(shù)顯示dis_smg1 = smg_duset_d / 10 % 10 ; /取個(gè)位顯示dis_smg2 = smg_duset_d / 100 % 10 & 0x7f ; /取十位顯示dis_smg3 = 0x88; /a/write_eepom(); /*報(bào)警函數(shù)*/void clock_h_l()static uchar value;if(distance <= set_d)value +; /消除實(shí)際距離在設(shè)定距離左右變化時(shí)的干擾if(value >= 5)beep =

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論