微機(jī)原理及接口技術(shù)ppt課件_第1頁(yè)
微機(jī)原理及接口技術(shù)ppt課件_第2頁(yè)
微機(jī)原理及接口技術(shù)ppt課件_第3頁(yè)
微機(jī)原理及接口技術(shù)ppt課件_第4頁(yè)
微機(jī)原理及接口技術(shù)ppt課件_第5頁(yè)
已閱讀5頁(yè),還剩25頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、9.1 D/A9.1 D/A轉(zhuǎn)換器及轉(zhuǎn)換器及A/DA/D轉(zhuǎn)換器概述轉(zhuǎn)換器概述9.2 D/A9.2 D/A轉(zhuǎn)換器轉(zhuǎn)換器DAC0832DAC0832及運(yùn)用及運(yùn)用9.3 A/D9.3 A/D轉(zhuǎn)換器轉(zhuǎn)換器ADC0809ADC0809及運(yùn)用及運(yùn)用第第9章章 模擬量接口技術(shù)模擬量接口技術(shù)9.1 D/A轉(zhuǎn)換器及轉(zhuǎn)換器及A/D轉(zhuǎn)換器概述轉(zhuǎn)換器概述A/D轉(zhuǎn)換:在計(jì)算機(jī)系統(tǒng)中,可以完成模擬信號(hào)轉(zhuǎn)轉(zhuǎn)換:在計(jì)算機(jī)系統(tǒng)中,可以完成模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)的過(guò)程稱作模換成數(shù)字信號(hào)的過(guò)程稱作模/數(shù)轉(zhuǎn)換簡(jiǎn)稱數(shù)轉(zhuǎn)換簡(jiǎn)稱A/D轉(zhuǎn)轉(zhuǎn)換。完成換。完成A/D轉(zhuǎn)換的安裝叫轉(zhuǎn)換的安裝叫A/D轉(zhuǎn)換器簡(jiǎn)稱轉(zhuǎn)換器簡(jiǎn)稱ADC。D/A轉(zhuǎn)換:在計(jì)算機(jī)系

2、統(tǒng)中,轉(zhuǎn)換:在計(jì)算機(jī)系統(tǒng)中, 可以完成數(shù)字信號(hào)轉(zhuǎn)可以完成數(shù)字信號(hào)轉(zhuǎn)換成模擬信號(hào)的過(guò)程稱作數(shù)換成模擬信號(hào)的過(guò)程稱作數(shù)/模轉(zhuǎn)換簡(jiǎn)稱模轉(zhuǎn)換簡(jiǎn)稱D/A轉(zhuǎn)轉(zhuǎn)換。完成換。完成D/A轉(zhuǎn)換的安裝叫轉(zhuǎn)換的安裝叫D/A轉(zhuǎn)換器簡(jiǎn)稱轉(zhuǎn)換器簡(jiǎn)稱DAC。 計(jì)算機(jī)控制系統(tǒng)的實(shí)現(xiàn)過(guò)程:計(jì)算機(jī)控制系統(tǒng)的實(shí)現(xiàn)過(guò)程:模擬式模擬式檢測(cè)儀表檢測(cè)儀表模擬式模擬式執(zhí)行部件執(zhí)行部件D/A轉(zhuǎn)換器轉(zhuǎn)換器模擬量電信號(hào)模擬量電信號(hào)微型計(jì)算機(jī)微型計(jì)算機(jī)數(shù)字量電信號(hào)數(shù)字量電信號(hào)消費(fèi)或?qū)嶒?yàn)過(guò)程消費(fèi)或?qū)嶒?yàn)過(guò)程模擬量電信號(hào)模擬量電信號(hào)數(shù)字量電信號(hào)數(shù)字量電信號(hào)物理量物理量物理量物理量圖圖9.1 9.1 計(jì)算機(jī)控制系統(tǒng)框圖計(jì)算機(jī)控制系統(tǒng)框圖A/D轉(zhuǎn)換器轉(zhuǎn)換器9.

3、2.1 D/A轉(zhuǎn)換器任務(wù)原理轉(zhuǎn)換器任務(wù)原理運(yùn)運(yùn) 算算放大器放大器模擬電壓輸出模擬電壓輸出多路模擬開(kāi)關(guān)多路模擬開(kāi)關(guān)電阻網(wǎng)絡(luò)電阻網(wǎng)絡(luò)圖圖9.2 D/A9.2 D/A轉(zhuǎn)換器框圖轉(zhuǎn)換器框圖N N位位二進(jìn)制數(shù)二進(jìn)制數(shù)12N12N9.2 D/A轉(zhuǎn)換器轉(zhuǎn)換器DAC0832及運(yùn)用及運(yùn)用1. 加權(quán)電阻網(wǎng)絡(luò)加權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的任務(wù)原理轉(zhuǎn)換器的任務(wù)原理- -+ +V0RFRR1R2R3RnVREFK1K2K3Kn圖9.3 加權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器原理圖2. “T形電阻網(wǎng)絡(luò)形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器的任務(wù)原理轉(zhuǎn)換器的任務(wù)原理圖9.4 “T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器原理圖9.2.2 D/A轉(zhuǎn)換器性能目的轉(zhuǎn)換器性能目的1.

4、分辨率分辨率2.轉(zhuǎn)換精度轉(zhuǎn)換精度3.建立時(shí)間建立時(shí)間4.溫度系數(shù)溫度系數(shù)5.非線性誤差非線性誤差圖圖9.5 DAC0832引腳及內(nèi)部構(gòu)造引腳及內(nèi)部構(gòu)造9.2.3 DAC0832芯片芯片1. DAC0832引腳及功能引腳及功能2DAC0832的幾種典型輸出銜接方式的幾種典型輸出銜接方式 單極性輸出電路。單極性輸出電路。 OUTViR21(1)OUTRViRR圖圖9.6 單極性輸出電路單極性輸出電路圖圖 (a)為反相輸出電路,其輸出電壓為:為反相輸出電路,其輸出電壓為: 圖圖 (b)是同相輸出電路,其電壓輸出為:是同相輸出電路,其電壓輸出為: 雙極性輸出電路。雙極性輸出電路。圖圖.7 雙極性輸出電

5、路雙極性輸出電路9.2.4 DAC0832的運(yùn)用的運(yùn)用 選擇和運(yùn)用選擇和運(yùn)用D/A 轉(zhuǎn)換器時(shí)要留意以下幾點(diǎn):轉(zhuǎn)換器時(shí)要留意以下幾點(diǎn): (1) 合理選擇合理選擇DAC 芯片。首先要思索芯片。首先要思索D/A 轉(zhuǎn)換器的分轉(zhuǎn)換器的分辨率和任務(wù)溫度范圍能否滿足系統(tǒng)要求。然后根據(jù)辨率和任務(wù)溫度范圍能否滿足系統(tǒng)要求。然后根據(jù)DAC 芯片的構(gòu)造和運(yùn)用特性,選擇外圍電路簡(jiǎn)單、芯片的構(gòu)造和運(yùn)用特性,選擇外圍電路簡(jiǎn)單、運(yùn)用方便的運(yùn)用方便的D/A 轉(zhuǎn)換器。轉(zhuǎn)換器。 (2) 設(shè)計(jì)和銜接接口時(shí),具有三態(tài)輸入數(shù)據(jù)存放器的設(shè)計(jì)和銜接接口時(shí),具有三態(tài)輸入數(shù)據(jù)存放器的DAC 芯片可直接與計(jì)算機(jī)芯片可直接與計(jì)算機(jī)I/O 插槽上的

6、數(shù)據(jù)總線相接,插槽上的數(shù)據(jù)總線相接,同時(shí),要為同時(shí),要為D/A 轉(zhuǎn)換器配置一個(gè)端口地址。轉(zhuǎn)換器配置一個(gè)端口地址。 (3) 假設(shè)假設(shè)D/A 芯片無(wú)參考電源時(shí),那么外接的參考電芯片無(wú)參考電源時(shí),那么外接的參考電壓應(yīng)該穩(wěn)定、可靠地任務(wù)。壓應(yīng)該穩(wěn)定、可靠地任務(wù)。 運(yùn)用舉例:利用運(yùn)用舉例:利用D/A 轉(zhuǎn)換器來(lái)構(gòu)造波形發(fā)生器,如下圖。轉(zhuǎn)換器來(lái)構(gòu)造波形發(fā)生器,如下圖。假設(shè)地址譯碼輸出端口為假設(shè)地址譯碼輸出端口為360H。圖.8 采用DAC0832 構(gòu)造的波形發(fā)生器(1) 矩形波。給矩形波。給DAC0832 繼續(xù)繼續(xù)256 次送數(shù)據(jù)次送數(shù)據(jù)0,然后,然后256 次送次送數(shù)據(jù)數(shù)據(jù)FFH,依次反復(fù)處置。輸出矩形波

7、的程序段如下:,依次反復(fù)處置。輸出矩形波的程序段如下:MOV DX,360H ;設(shè)定地址譯碼輸出端口;設(shè)定地址譯碼輸出端口DD0: MOV CX,0FFHMOV AL,00DDl: OUT DX,AL ;向;向D/A 轉(zhuǎn)換器送數(shù)據(jù)轉(zhuǎn)換器送數(shù)據(jù)0LOOP DDl ;循環(huán);循環(huán)256 次,構(gòu)成矩形波的低電平次,構(gòu)成矩形波的低電平MOV CX,0FFHMOV AL,0FFHDD2: OUT DX,AL ;向;向D/A 轉(zhuǎn)換器送數(shù)據(jù)轉(zhuǎn)換器送數(shù)據(jù)FFHLOOP DD2 ;循環(huán);循環(huán)256 次,構(gòu)成矩形波的高電平次,構(gòu)成矩形波的高電平JMP DD0 ;反復(fù)上述的過(guò)程,構(gòu)成多個(gè)矩形波;反復(fù)上述的過(guò)程,構(gòu)成多

8、個(gè)矩形波(2) 梯形波。給梯形波。給DAC0832 繼續(xù)繼續(xù)256 次送數(shù)據(jù)次送數(shù)據(jù)0,然后逐次加,然后逐次加1 直到直到255,然后繼續(xù),然后繼續(xù)256次,接著將次,接著將255 逐次減逐次減1,依次反,依次反復(fù)處置。復(fù)處置。MOV DX,360H ;設(shè)定地址譯碼輸出端口;設(shè)定地址譯碼輸出端口MOV CX,0FFHMOV AL,00DDl: OUT DX,AL ;向;向D/A 轉(zhuǎn)換器送數(shù)據(jù)轉(zhuǎn)換器送數(shù)據(jù)0LOOP DDl ;循環(huán);循環(huán)256 次,構(gòu)成梯形波的下底次,構(gòu)成梯形波的下底MOV CX,0FFHDD2: INC AL ;循環(huán)加;循環(huán)加1,以構(gòu)成上升沿,以構(gòu)成上升沿OUT DX,ALLO

9、OP DD2MOV CX,0FFHDD3: OUT DX,AL ;輸出上底;輸出上底LOOP DD3MOV CX,0FFHDD4: DEC ALOUT DX,AL ;輸出下降沿;輸出下降沿LOOP DD4JMP DDl ;反復(fù)上述過(guò)程,構(gòu)成多個(gè)梯形波;反復(fù)上述過(guò)程,構(gòu)成多個(gè)梯形波(3) 三角波。給三角波。給DAC0832 繼續(xù)繼續(xù)256 次送數(shù)據(jù)次送數(shù)據(jù)0,然后逐次,然后逐次加加1 直到直到255,接著將,接著將255逐次逐次 減減1 到到0,依次反復(fù)。,依次反復(fù)。MOV DX,360H ;設(shè)定地址譯碼輸出端口;設(shè)定地址譯碼輸出端口DD0: MOV CX,0FFHMOV AL,00DD1: O

10、UT DX,AL ;向;向D/A 轉(zhuǎn)換器送數(shù)據(jù)轉(zhuǎn)換器送數(shù)據(jù)0INC ALLOOP DD1 ;循環(huán)構(gòu)成上升斜坡;循環(huán)構(gòu)成上升斜坡MOV CX,0FFHDD2: DEC ALOUT DX,ALLOOP DD2 ;循環(huán)構(gòu)成下降斜坡;循環(huán)構(gòu)成下降斜坡JMP DD0 ;反復(fù)上述過(guò)程,構(gòu)成多個(gè)三角波;反復(fù)上述過(guò)程,構(gòu)成多個(gè)三角波9.3 A/D轉(zhuǎn)換器轉(zhuǎn)換器ADC0809及運(yùn)用及運(yùn)用 采樣:對(duì)延續(xù)變化的模擬量要按一定的規(guī)律和周期取出其采樣:對(duì)延續(xù)變化的模擬量要按一定的規(guī)律和周期取出其中的某一瞬時(shí)值。中的某一瞬時(shí)值。 采樣頻率:普通要高于或至少等于輸入信號(hào)最高頻率的采樣頻率:普通要高于或至少等于輸入信號(hào)最高頻率

11、的2倍,倍,實(shí)踐運(yùn)用中采樣頻率普通是信號(hào)頻率的實(shí)踐運(yùn)用中采樣頻率普通是信號(hào)頻率的48倍。倍。 采樣周期:相鄰兩次采樣的間隔時(shí)間。一次采樣周期:相鄰兩次采樣的間隔時(shí)間。一次A/D轉(zhuǎn)換所需轉(zhuǎn)換所需求的時(shí)間必需小于采樣周期。求的時(shí)間必需小于采樣周期。 量化:將模擬量表示為相應(yīng)的數(shù)字量。量化得到的數(shù)值通量化:將模擬量表示為相應(yīng)的數(shù)字量。量化得到的數(shù)值通常用二進(jìn)制表示。常用二進(jìn)制表示。9.3.1 A/D轉(zhuǎn)換器的任務(wù)原理轉(zhuǎn)換器的任務(wù)原理A/D轉(zhuǎn)換器主要有計(jì)數(shù)式、并行式、雙積分式、轉(zhuǎn)換器主要有計(jì)數(shù)式、并行式、雙積分式、逐次逼近式等。主要引見(jiàn)逐次逼近式逐次逼近式等。主要引見(jiàn)逐次逼近式A/D轉(zhuǎn)換轉(zhuǎn)換器的任務(wù)原理

12、。器的任務(wù)原理。EOC轉(zhuǎn)換轉(zhuǎn)換終了信號(hào)終了信號(hào)START啟動(dòng)信號(hào)啟動(dòng)信號(hào)電阻開(kāi)關(guān)網(wǎng)絡(luò)電阻開(kāi)關(guān)網(wǎng)絡(luò)時(shí)鐘時(shí)鐘圖圖9.9 9.9 逐次逼近式逐次逼近式A/DA/D轉(zhuǎn)換器邏輯框圖轉(zhuǎn)換器邏輯框圖D3模擬量輸入模擬量輸入+ +比較器比較器數(shù)碼存放器數(shù)碼存放器數(shù)據(jù)發(fā)生器數(shù)據(jù)發(fā)生器數(shù)字量輸出數(shù)字量輸出VREF基準(zhǔn)電壓基準(zhǔn)電壓D2 D1D0VR反響比較電壓反響比較電壓VIN- -1. 分辨率:分辨率:2. 轉(zhuǎn)換精度:轉(zhuǎn)換精度:3. 轉(zhuǎn)換時(shí)間轉(zhuǎn)換時(shí)間4. 溫度系數(shù)溫度系數(shù)5. 量程量程9.3.2 A/D轉(zhuǎn)換器的主要性能目的轉(zhuǎn)換器的主要性能目的9.3.3 ADC0809芯片芯片 1. ADC0809引腳及功能引腳及

13、功能圖圖9.11 ADC0809引腳圖引腳圖8路路模擬模擬選通選通開(kāi)關(guān)開(kāi)關(guān)8-bitA/D轉(zhuǎn)換轉(zhuǎn)換器器8-bit三態(tài)三態(tài)輸出輸出鎖存鎖存IN7IN0ADDCOESTARTEOC圖圖9.10 ADC0809構(gòu)造框圖構(gòu)造框圖D7D0ADDBADDAALECLKVREF+VREF-GNDVCC地址地址鎖存鎖存器器IN2ADDCALEADDBADDAOECLKEOC12345678910192021222324111213141516171825262728ADC0809STARTIN1IN0IN3IN4IN5IN6IN7D0D1D2D3D7D5D4D6VREF-VREF+VCCGND2. ADC08

14、09的任務(wù)時(shí)序的任務(wù)時(shí)序圖9.12 ADC0809的任務(wù)時(shí)序9.3.4 ADC0809芯片的運(yùn)用芯片的運(yùn)用例題:利用例題:利用ADC0809進(jìn)展數(shù)據(jù)采集的電路圖如進(jìn)展數(shù)據(jù)采集的電路圖如下,編寫(xiě)采集子程序。下,編寫(xiě)采集子程序。采集子程序的功能:每調(diào)用一次,順序?qū)Σ杉映绦虻墓δ埽好空{(diào)用一次,順序?qū)?路模路模擬輸入擬輸入IN0到到IN7進(jìn)展一次轉(zhuǎn)換,并將轉(zhuǎn)換結(jié)進(jìn)展一次轉(zhuǎn)換,并將轉(zhuǎn)換結(jié)果存放到內(nèi)存中的指定位置,該位置的段、果存放到內(nèi)存中的指定位置,該位置的段、偏移地址在偏移地址在ADAT的順序的順序8個(gè)單元中。個(gè)單元中。 采集子程序如下:采集子程序如下:PRMD:PROC NEARPUSH BXPU

15、SH DXPUSH DSPUSH AXPUSH SIMOV DX,SEG ADATMOV DS,DXMOV SI,OFFSET ADATMOV BL,00HMOV BH,08HGOON:MOV DX,8001HMOV AL,BLOUT DX,AL;送通道地址;送通道地址MOV DX,8002HMOV AL,01HOUT DX,AL MOV AL,00HOUT DX,AL;送;送ALE和和START脈脈沖沖NOPWAIT: IN AL,DXTEST AL,10HJZ WAIT ;等待轉(zhuǎn)換終了;等待轉(zhuǎn)換終了MOV AL,02HOUT DX,AL;使;使OE=1MOV DX,8000HIN AL,D

16、X;讀數(shù)據(jù);讀數(shù)據(jù)MOV SI,ALMOV DX,8002HMOV AL,00HOUT DX,ALINC SI ;存放數(shù)據(jù)的內(nèi)存地址加;存放數(shù)據(jù)的內(nèi)存地址加1INC BL ;通道地址加;通道地址加1DEC BHJNZ GOONPOP AXPOP DSPOP DXPOP BXRETPRMDENDP知識(shí)擴(kuò)展:多路模擬開(kāi)關(guān)及采樣堅(jiān)持電路知識(shí)擴(kuò)展:多路模擬開(kāi)關(guān)及采樣堅(jiān)持電路1多路模擬開(kāi)關(guān)多路模擬開(kāi)關(guān)圖圖9.14 多回路分時(shí)共用轉(zhuǎn)換器框圖多回路分時(shí)共用轉(zhuǎn)換器框圖堅(jiān)持電路堅(jiān)持電路I/O多路模擬量輸多路模擬量輸入入I/O計(jì)計(jì) 算算 機(jī)機(jī)D/AA/D采樣保采樣保持電路持電路多路模多路模擬開(kāi)關(guān)擬開(kāi)關(guān)堅(jiān)持電路堅(jiān)持

17、電路堅(jiān)持電路堅(jiān)持電路多路模擬量輸多路模擬量輸出出多路模多路模擬開(kāi)關(guān)擬開(kāi)關(guān)2采樣堅(jiān)持電路采樣堅(jiān)持電路AOUT圖圖9.15 采樣堅(jiān)持電路的根本組成采樣堅(jiān)持電路的根本組成- -+SC+- -AIN方式控制方式控制A2A1練習(xí)題練習(xí)題1什么是什么是D/A轉(zhuǎn)換器?什么是轉(zhuǎn)換器?什么是A/D轉(zhuǎn)換器?轉(zhuǎn)換器?答:在計(jì)算機(jī)系統(tǒng)中,可以完成答:在計(jì)算機(jī)系統(tǒng)中,可以完成D/A轉(zhuǎn)換的安裝叫轉(zhuǎn)換的安裝叫D/A轉(zhuǎn)換器;可以完成轉(zhuǎn)換器;可以完成A/D轉(zhuǎn)換的安裝叫轉(zhuǎn)換的安裝叫A/D轉(zhuǎn)轉(zhuǎn)換器。換器。 2描畫(huà)描畫(huà)D/A轉(zhuǎn)換器的性能目的主要有哪些?轉(zhuǎn)換器的性能目的主要有哪些?答:分辨率、轉(zhuǎn)換精度、建立時(shí)間、溫度系數(shù)、非答:分辨率

18、、轉(zhuǎn)換精度、建立時(shí)間、溫度系數(shù)、非線性誤差等。線性誤差等。3簡(jiǎn)述簡(jiǎn)述A/D轉(zhuǎn)換器的主要性能目的?轉(zhuǎn)換器的主要性能目的?答:分辨率、答:分辨率、 轉(zhuǎn)換精度、轉(zhuǎn)換精度、 轉(zhuǎn)換時(shí)間、轉(zhuǎn)換時(shí)間、 溫度系數(shù)、溫度系數(shù)、 量程等。量程等。 在實(shí)踐運(yùn)用中,選擇和運(yùn)用在實(shí)踐運(yùn)用中,選擇和運(yùn)用D/A轉(zhuǎn)換器應(yīng)留意轉(zhuǎn)換器應(yīng)留意哪幾點(diǎn)?哪幾點(diǎn)?答:答:1首先要思索首先要思索D/A轉(zhuǎn)換器的分辨率和任務(wù)溫度范轉(zhuǎn)換器的分辨率和任務(wù)溫度范圍能否滿足系統(tǒng)要求。然后根據(jù)圍能否滿足系統(tǒng)要求。然后根據(jù)DAC芯片的構(gòu)造芯片的構(gòu)造和運(yùn)用特性,選擇外圍電路簡(jiǎn)單、運(yùn)用方便的和運(yùn)用特性,選擇外圍電路簡(jiǎn)單、運(yùn)用方便的D/A轉(zhuǎn)換器。轉(zhuǎn)換器。2設(shè)計(jì)

19、和銜接接口時(shí),具有三態(tài)輸入數(shù)據(jù)存放設(shè)計(jì)和銜接接口時(shí),具有三態(tài)輸入數(shù)據(jù)存放器的器的DAC芯片可直接與計(jì)算機(jī)芯片可直接與計(jì)算機(jī)I/O插槽上的數(shù)據(jù)總插槽上的數(shù)據(jù)總線相接,同時(shí),要為線相接,同時(shí),要為D/A轉(zhuǎn)換器配置一個(gè)端口地轉(zhuǎn)換器配置一個(gè)端口地址。址。3假設(shè)假設(shè)D/A芯片無(wú)參考電源時(shí),那么外接的參考芯片無(wú)參考電源時(shí),那么外接的參考電壓應(yīng)該任務(wù)穩(wěn)定、可靠。電壓應(yīng)該任務(wù)穩(wěn)定、可靠。5.要求某電子秤的稱重范圍為要求某電子秤的稱重范圍為0500g,丈量誤差,丈量誤差小于小于0.05g,至少應(yīng)該選用分辨率為多少位的,至少應(yīng)該選用分辨率為多少位的A/D轉(zhuǎn)換器?現(xiàn)有轉(zhuǎn)換器?現(xiàn)有8位、位、10位、位、12位、位、14位和位和16位可位可供選擇。供選擇。答:答:5000.05=10000,至少應(yīng)該選用分辨率為,至少應(yīng)該選用分辨率為14位的位的A/D轉(zhuǎn)換器。轉(zhuǎn)換器。6.某某12位位D/A轉(zhuǎn)換器,輸出電壓為轉(zhuǎn)換器,輸出電壓為02.5V。當(dāng)輸入。當(dāng)輸入的數(shù)字量為的數(shù)字量為

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論