基于單片機的直流電機速度控制系統(tǒng)畢業(yè)論文_第1頁
基于單片機的直流電機速度控制系統(tǒng)畢業(yè)論文_第2頁
基于單片機的直流電機速度控制系統(tǒng)畢業(yè)論文_第3頁
基于單片機的直流電機速度控制系統(tǒng)畢業(yè)論文_第4頁
基于單片機的直流電機速度控制系統(tǒng)畢業(yè)論文_第5頁
已閱讀5頁,還剩24頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、學校代碼 10722 學 號 分類號 密 級 公 開 本科畢業(yè)論文(設(shè)計)題目:基于單片機的直流電動機的控制(中、英文) Control of DC motor based on SCM作 者 姓 名: 專 業(yè) 名 稱:電氣工程及其自動化學 科 門 類: 工 科 指 導 教 師: 提交論文日期: 二0一四年五月 成 績 評 定: 摘 要隨著微電子和計算機技術(shù)的發(fā)展,直流電機的要求量與日俱增,它廣泛用于打印機、電動玩具等消費類產(chǎn)品以及數(shù)控機床、工業(yè)機器人、醫(yī)療器械等機電產(chǎn)品中,并在國民經(jīng)濟各個領(lǐng)域都有應(yīng)用。研究直流電機的控制系統(tǒng),對提高控制精度和響應(yīng)速度、節(jié)約能源等都具有重要意義。 因

2、為單片機具有集成度高、處理功能強、可靠性好、結(jié)構(gòu)簡單、價格低廉、易于使用等優(yōu)點,所以論文采用51系列單片機進行控制系統(tǒng)的設(shè)計,由硬件設(shè)計和軟件設(shè)計兩部分組成。其中,硬件設(shè)計主要包括單片機最小系統(tǒng)、鍵盤控制模塊、直流電動機驅(qū)動模塊、復(fù)位電路模塊、晶振電路模塊等功能模塊的設(shè)計。軟件設(shè)計包括主程序以及各個模塊的控制程序,最終實現(xiàn)對直流電機轉(zhuǎn)動方向及轉(zhuǎn)動速度的控制。系統(tǒng)具有智能性、實用性及可靠性的特點。關(guān)鍵詞:直流電動機;轉(zhuǎn)速控制;方向控制AbstractAlong with the development of microelectronics and computer technology, th

3、e requirement of the dc motors quantity grows day by day, it is widely used in printers, electric toys and other consumer products as well as the numerical control machine tools, industrial robots, medical equipment and other mechanical and electrical products, and applied in all professions and tra

4、des of the national economy.Study of dc motor control system, to improve the control precision and response speed, energy conservation is of great significance. Because singlechip has advantages of high integration, strong processing power, good reliability and the characteristics of simple structur

5、e, low cost as well as easy to use, so the paper uses the 51 series microcontroller design the control system, the whoie design include hardware design and software design two parts.Among them, the hardware design mainly includes the SCM minimal system, the keyboard control module, dc motor driver m

6、odule, reset circuit module, crystals circuit module, and other function modules design.Software design includes the main program and each module control program.Thereby do realize the function of controlling the rotation direction and speed.The system has the characteristics of intelligence, practi

7、cality and reliability.Keywords: dc motor;speed control;direction control目 錄摘 要2Abstract31 緒論41.1 研究背景41.2 研究價值41.3 研究內(nèi)容42 直流電動機簡介52.1 直流電動機的工作原理及其構(gòu)造52.2 直流電動機的PWM調(diào)壓調(diào)速原理63 控制系統(tǒng)的總體設(shè)計63.1 設(shè)計方案分析與比較63.2 系統(tǒng)結(jié)構(gòu)設(shè)計93.3 單片機89C51簡介93.4 L298N電動機驅(qū)動芯片簡介104 硬件設(shè)計114.1 單片機系統(tǒng)電路114.2 復(fù)位電路124.3 時鐘電路134.4 直流電機驅(qū)動電路144.5

8、 鍵盤電路155 軟件設(shè)計165.1 單極性可逆電動機驅(qū)動系統(tǒng)的電動機啟停、正反轉(zhuǎn)控制165.2系統(tǒng)總體流程設(shè)計176 仿真調(diào)試196.1 Keil C51軟件簡介196.2 Proteus仿真軟件簡介196.3 調(diào)試20總結(jié)23參考文獻24附錄26謝辭281 緒論1.1 研究背景直流電動機是最早出現(xiàn)的電動機,也是最早實現(xiàn)調(diào)速的電動機。長期以來,直流電動機一直占據(jù)著調(diào)速控制的統(tǒng)治地位。由于它具有良好的線性調(diào)速特性,簡單的控制性能,高效率,優(yōu)異的動態(tài)特性,現(xiàn)在仍是大多數(shù)調(diào)速控制電動機的最優(yōu)選擇。因此研究直流電機的速度控制,有著非常重要的意義。近十幾年來,單片機作為微計算機一個很重要的分支,應(yīng)用廣

9、泛,發(fā)展迅速,已經(jīng)對人類社會產(chǎn)生了深遠的影響。單片機在生產(chǎn)過程控制、自動檢測、數(shù)據(jù)采集及處理、科技計算、商業(yè)管理及辦公室自動化等方面獲得了廣泛的應(yīng)用。單片機具有體積小、重量輕、耗能省、價格低可靠性和通用靈活性等特點,尤其是美國Intel 公司生產(chǎn)的MCS-51 系列單片機,由于其具有集成度高、處理功能強、可靠性好、結(jié)構(gòu)簡單、價格低廉、易于使用等優(yōu)點,在我國已經(jīng)得到廣泛的應(yīng)用。 1.2 研究價值 以前電動機大多使用由模擬電路組成的控制柜進行控制,現(xiàn)在單片機已經(jīng)開始取代模擬電路作為電機控制器。當前電機控制器的發(fā)展方向越來越趨于多樣化和復(fù)雜化,現(xiàn)有的專用集成電路未必能滿足苛刻的新產(chǎn)品開發(fā)要求,為此可

10、考慮開發(fā)電機的新型單片機控制器,因此研究電機的調(diào)速控制有著非常重要的意義。1.3 研究內(nèi)容本設(shè)計實現(xiàn)的是用單片機來控制直流電機,其中通過4位按鍵來實現(xiàn)電動機的啟停、正反轉(zhuǎn)、加速、減速。調(diào)速系統(tǒng)主要是通過調(diào)節(jié)PWM的占空比大小來實現(xiàn)。2 直流電動機簡介2.1 直流電動機的工作原理及其構(gòu)造電機是指依據(jù)電磁感應(yīng)定律實現(xiàn)電能轉(zhuǎn)換或傳遞的一種電磁裝置,它的主要作用是產(chǎn)生驅(qū)動轉(zhuǎn)矩,作為用電器或各種機械的動力源。直流電動機的勵磁線圈兩個端線通有相反方向的電流,使整個線圈產(chǎn)生繞軸的扭力,使線圈轉(zhuǎn)動。為使電樞受到一個方向不變的電磁轉(zhuǎn)矩,關(guān)鍵在于當線圈邊在不同極性的磁極下,將流過線圈中的電流方向及時地加以變換,即

11、進行所謂“換向”。為此必須增添一個叫做換向器的裝置,換向器配合電刷可保證每個極下線圈邊中電流始終是一個方向,就可以使電動機能連續(xù)的旋轉(zhuǎn)。直流電動機的構(gòu)造分為定子與轉(zhuǎn)子兩部分。定子包括:機座,主磁極,換向極,電刷裝置等。轉(zhuǎn)子包括:電樞鐵芯,電樞繞組,換向器,軸和風扇等。(1)定子定子就是發(fā)動機中固定不動的部分,它主要由機座、主磁極、換向級和電刷裝置組成。機座不僅起到導磁的作用,而且會起到機械支撐的作用。主磁極的作用是在電樞表面外的氣隙空間里產(chǎn)生一定形狀分布的氣隙磁密,也稱主極。一般大多數(shù)直流電機的主磁極都是由直流電流來勵磁,因此主磁極上還應(yīng)該裝有勵磁線圈。換向級一般用整塊鋼板構(gòu)成,且外面套有換向

12、級繞組。換向級繞組導線的截面積比較大,而匝數(shù)比較少,是因為換向級繞組里有電樞電流流過。電刷裝置的作用是把電動機轉(zhuǎn)動部分的電流引出到靜止的電路里。電刷一般情況下與換向器配合使用。(2)轉(zhuǎn)子轉(zhuǎn)子是電動機的轉(zhuǎn)動部分,主要由電樞和換向器組成。電樞是電動機中產(chǎn)生感應(yīng)電動勢的部分,主要包括電樞鐵芯和點數(shù)繞組。電樞鐵芯成圓柱形,由硅鋼片疊成,表面沖有槽,槽中放電樞繞組。通有電流的電樞繞組在磁場中受到電磁力矩的作用,驅(qū)動轉(zhuǎn)子旋轉(zhuǎn),起了能量轉(zhuǎn)換的樞紐作用,故稱“電樞”。換向器又叫做整流子,對于直流電動機來說是一種特殊裝置。它是由楔形銅片疊成,片間用云母墊片絕緣。換向片嵌放在套筒上,用壓圈固定后成為換向器再壓裝,

13、在轉(zhuǎn)軸上電樞繞組的導線按一定的規(guī)則焊接在換向片突出的叉口中。固定的電刷被換向器用彈簧壓在表面,進而讓轉(zhuǎn)動著的電樞繞組同外電路連接到一起,與此同時可以將外部的直流電流轉(zhuǎn)成電樞繞組內(nèi)的交流電流。2.2 直流電動機的PWM調(diào)壓調(diào)速原理直流電動機轉(zhuǎn)速n的表達式為:n=(U-IR)/K (2-1)Error! No bookmark name given.式中:U電樞端電壓;I電樞電流;R電樞電路總電阻;每極磁通量;K電動機結(jié)構(gòu)參數(shù)。由式2-1可以看出,直流電動機的轉(zhuǎn)速控制方法可分為對勵磁磁通進行控制的勵磁控制法和對電樞電壓進行控制的電樞控制法。本設(shè)計使用的是勵磁恒定不變的情況下,通過調(diào)節(jié)電樞電壓來實現(xiàn)

14、調(diào)速。絕大多數(shù)直流電動機使用開關(guān)驅(qū)動方式。開關(guān)驅(qū)動方式是使半導體功率器件工作在開關(guān)狀態(tài),通過脈寬調(diào)制PWM來控制電動機電樞電壓,實現(xiàn)調(diào)速。此時電動機電樞繞組兩端電壓的平均值U0為:U0=(t1US+0)/(t1+t2)=t1US/T=Us (2-2)式中Us為電源電壓,T為一個脈沖周期,t1表示在一個周期T內(nèi)開關(guān)導通的時間,為占空比,表示一個周期T內(nèi)開關(guān)導通時間與周期的比值,變化范圍為01。當電源電壓Us不變,改變即可改變端電壓的平均值,從而達到調(diào)速的目的。3 控制系統(tǒng)的總體設(shè)計3.1 設(shè)計方案分析與比較1、電動機調(diào)速控制模塊方案一:電動機的分壓是采用電阻網(wǎng)絡(luò)或數(shù)字電位器調(diào)整,進而實現(xiàn)速度的控

15、制。但是采用電阻網(wǎng)絡(luò)僅能實現(xiàn)的是有級調(diào)速,采用數(shù)字電阻元器件的價格較為昂貴。最重要的是一般電動機的電阻很小電流很大,尤其是在分壓的時候不僅會大大的降低效率,而且在實現(xiàn)時也很復(fù)雜。方案二:若使用繼電器來對電動機的開(關(guān))進行控制,通過開關(guān)的切換來實現(xiàn)電動機的加減速。該方案的優(yōu)點是電路簡單,存在的缺點是繼電器的響應(yīng)時間長、機械結(jié)構(gòu)容易損壞、可靠性不高。方案三:若使用由達林頓管組成的H型PWM電路,單片機可控制達林頓管工作在可調(diào)節(jié)占空比的狀態(tài),從而調(diào)整電動機的速度。由于這種電路工作在管子飽和和截止狀態(tài)下,效率很高;H型電路可以實現(xiàn)較為簡單的方向和速度的控制;電子開關(guān)的速度快、穩(wěn)定性好,是一種極為廣泛

16、的PWM調(diào)速技術(shù)。綜上所述,分析各方案的優(yōu)缺點本設(shè)計采用方案三。2、PWM調(diào)速工作方式方案一:雙極性工作方式,是在設(shè)定的一個脈沖信號周期內(nèi),單片機有兩個控制端口各輸出一個控制信號,通過兩信號的高低電平差值來決定電動機的方向和速度。方案二:單極性工作方式,是單片機的控制端口接地,另一端輸出PWM信號,切換兩口的輸出來調(diào)節(jié)PWM的占空比,進而可控制電動機的方向和速度。因為雙極性工作制電壓波中的交流成分比單極性工作制的大,電流波動也較大,所以本設(shè)計采用了單極性工作制。3、PWM調(diào)速方法 PWM調(diào)速原理如圖3.1所示 圖3.1 PWM調(diào)速原理圖T1TT2T:周期 T1:高電平持續(xù)時間 T2:低電平持續(xù)

17、時間tU根據(jù)改變占空比方法的不同,PWM調(diào)速可分為以下三種:(1)定寬調(diào)頻 保持T1不變,改變T2,周期T隨之改變。(2)調(diào)寬調(diào)頻 保持T2不變,改變T1,周期T隨之改變。(3)定頻調(diào)寬 保持周期T不變,同時改變T1和T2。前兩種發(fā)法在改變占空比的同時改變了脈沖頻率,當控制脈沖頻率與系統(tǒng)固有頻率接近時,將會引起振蕩,所以,本設(shè)計采用第三種方法來調(diào)速。4、PWM軟件實現(xiàn)方式方案一:使用定時器作為脈寬控制的定時方式,此方式產(chǎn)生的脈沖寬度極其精確,誤差只有幾個微秒。方案二:使用軟件延時方式,此方式不如方案一精確,特別是在引入中斷后,將有一定的誤差。但是由于方案二不占用定時器資源,且對于直流電機,采用

18、軟件延時所產(chǎn)生的定時誤差在允許范圍,因此本設(shè)計采用方案二。3.2 系統(tǒng)結(jié)構(gòu)設(shè)計系統(tǒng)的結(jié)構(gòu)框圖如下圖3.2所示,主要包括4位鍵盤電路、電源電路、89C51單片機芯片、直流電動機、PWM驅(qū)動電路、晶振電路以及復(fù)位電路七大部分。4位鍵盤和單片機相連,主要用來控制直流電機,其中一位控制直流電機的啟動和停止;一位控制直流電機的正反轉(zhuǎn);一位可以使直流電機加速;還有一位可以使電機減速。電源主要為單片機提供電源。晶振電路是為單片機提供振蕩脈沖。復(fù)位電路是將單片機的硬件做初始化操作。而電機的加減速則需要調(diào)節(jié)PWM的占空比來調(diào)節(jié)(具體見第一章)。復(fù)位電路89C51單片機4位鍵盤電源電路PWM驅(qū)動電路直 流電動機時

19、鐘電路 圖3.2 系統(tǒng)的結(jié)構(gòu)框圖本設(shè)計選用LM629直流電機,而單片機則采用51系列中的89C51單片機。3.3 單片機89C51簡介之前已經(jīng)學習過80C51芯片,在本設(shè)計中采用89C51單片機芯片。他們之間芯片各腳的定義是完全兼容的,唯一的區(qū)別是89C51內(nèi)部集成了4K的FLASH-ROM,而80C51內(nèi)部是廠家做好的掩膜式ROM,除了在燒寫ROM的時候方式不同,在電路中的功能是一樣的。因此在電路上沒有區(qū)別。89C51單片機的主要特性:4K字節(jié)可編程閃爍存儲器;128*8位內(nèi)部RAM;有五個中斷源;兩個16位定時器/計數(shù)器和32位可編程輸入/輸出線;具有時鐘電路和片內(nèi)振蕩器;有可編程的串行通

20、道;低功耗的閑置和掉電模式。 圖3.4 80C51管腳圖3.4 L298N電動機驅(qū)動芯片簡介使用L298N可以對電動機進行驅(qū)動,此芯片中含有兩個橋式電路,可以對兩臺電動機進行驅(qū)動,本設(shè)計中只需要驅(qū)動一臺電動機,所以選擇L298N進行驅(qū)動是可行的方案。其管腳如圖3.5所示,IN1、IN2、IN3、IN4為四個輸入;ENA和ENB為兩個使能輸入;SENSA和SENSA為電機電流(或叫橋驅(qū)動電流)檢測引腳,一般不用直接接地;OUT1、OUT2、OUT3、OUT4為四個輸出。圖 3.5 L298N管腳圖4 硬件設(shè)計4.1 單片機系統(tǒng)電路整個89C51單片機的系統(tǒng)電路就是將晶振電路模塊、復(fù)位電路模塊、鍵

21、盤電路模塊、直流電動機的驅(qū)動電路同89C51單片機連接在一起,再有就是單片機的Vcc接+5V電源,Vss接地,如圖4.1所示。本設(shè)計通過四個按鍵來實現(xiàn)對電動機的控制,按鍵與P2口相連,按下按鍵改變電平,將改變的電平通過單片機送給P0.0和P0.1口,在送到L298N的輸入端口,從而對電動機的啟停和方向進行控制;還將其產(chǎn)生在PWM脈沖送到L298N的使能端,從而對電動機的速度進行控制。 圖4.1 系統(tǒng)電路圖4.2 復(fù)位電路復(fù)位電路用于產(chǎn)生復(fù)位信號,通過RST(高電平有效)引腳送入單片機,進行復(fù)位操作。該引腳上出現(xiàn)持續(xù)兩個機器周期(即24個時鐘周期)以上的高電平,便可實現(xiàn)復(fù)位,復(fù)位電路對單片機系統(tǒng)

22、順利的工作有著至關(guān)重要的作用。它可以保證程序從指定處開始執(zhí)行,即從程序存儲器的0000H地址單元開始執(zhí)行程序。另外,當程序進行出錯或操作錯誤使系統(tǒng)處于“死機”狀態(tài)時,需復(fù)位以重新啟動。復(fù)位信號的產(chǎn)生有上電自動復(fù)位和按鍵手動復(fù)位兩種方式。上電自動復(fù)位是通過外部復(fù)位電路的電容充電實現(xiàn)的。通電時,電容兩端相當于短路,于是RET引腳上為高電平,然后電源通過電阻對電容進行充電,RET端電壓慢慢降下來,降到一定程度時變成低電平,單片機開始正常工作。對干擾抵抗能力差。最簡單的上電自動復(fù)位電路。按鍵手動復(fù)位電路是通過電阻接高電平實現(xiàn)的。按鍵手動復(fù)位電路。在現(xiàn)代工業(yè)控制中,根據(jù)實際需要,一般采用兼有上電外部復(fù)位

23、與按鍵復(fù)位的電路,這樣復(fù)位電路能輸出兩種電平的復(fù)位控制信號,以適應(yīng)外圍I/O接口芯片所需要的不同復(fù)位電平信號。而在本設(shè)計中采用的是按鍵手動復(fù)位,如圖4.2所示。RST 圖4.2 復(fù)位電路圖4.3 時鐘電路時鐘電路是單片機系統(tǒng)的心臟,它控制者單片機的工作節(jié)奏。單片機雖然內(nèi)部有振蕩電路,但是要形成時鐘,必須外部附加電路。微型計算機的CPU實質(zhì)上就是一個復(fù)雜的同步時序電路,所有工作都是在時鐘信號控制下進行的。每執(zhí)行一條指令,CPU的控制器都要發(fā)出一系列特定的控制信號。AT89C51單片機的時鐘信號通常由兩種方式產(chǎn)生:一是內(nèi)部振蕩方式,二是外部時鐘振蕩方式。內(nèi)部時鐘電路,在AT89C51單片機內(nèi)部有一

24、個高增益反相放大器,只要在單片機的XTAL1和XTAL2引腳外接定時反饋回路,振蕩器OSC就能自激振蕩,并在單片機內(nèi)部產(chǎn)生矩形時鐘脈沖信號。定時反饋回路常由石英晶振和微調(diào)電容組成,其中石英晶振的頻率是單片機的重要性能指標之一,時鐘頻率越高,單片機控制器的控制節(jié)拍就越快,運算速度也就越快。一般情況下,石英晶振的頻率選為典型值12MHz,這樣有利于得到?jīng)]有誤差的波特率。內(nèi)部時鐘電路對外接電容C1、C2并沒有嚴格要求,它們起穩(wěn)定振蕩頻率、快速起振的作用。電容C1和C2典型值在6070pF之間振蕩器有較高的頻率穩(wěn)定性。選擇30pF左右時對應(yīng)的時鐘頻率為難12MHz,外接陶瓷振蕩器時,電容值通常選擇為4

25、7pF。外部時鐘電路是利用外部振蕩信號直接作為時鐘源直接接入XTAL1和XTAL2。通常XTAL2端直接接至內(nèi)部時鐘電路,輸入端XTAL1接地。由于XTAL2的邏輯電平不是TTL的,所以建議接一個4.710K的上拉電阻。時鐘電路則是由振蕩電路產(chǎn)生震蕩脈沖,從而得到晶振頻率的電路。本設(shè)計中選取內(nèi)部時鐘電路,如下圖4.3所示。 圖4.3 內(nèi)部時鐘電路4.4 直流電機驅(qū)動電路直流電動機的驅(qū)動主要由L298N驅(qū)動芯片和TLP521-4光電隔離芯片組成,如圖4.4所示。電動機停止時,單片機P0.0和P0.1口輸出低電平;電動機運轉(zhuǎn)時,單片機P0.0和P0.1口輸出高電平;電動機正轉(zhuǎn)時,單片機P0.0口輸

26、出低電平,P0.1口輸出高電平;電動機反轉(zhuǎn)時,單片機P0.0口輸出高電平,P0.1口輸出低電平;PWM脈沖調(diào)速采用定頻調(diào)寬法,單片機P2.0口輸出固定頻率為1kHz的PWM脈沖,脈沖頻率通過定時中斷實現(xiàn)。 圖4.4 直流電動機驅(qū)動電路4.5 鍵盤電路 鍵盤是由若干個按鍵組成的開關(guān)矩陣,平時按鍵開關(guān)總是處于斷開的狀態(tài),當按下時閉合。用戶通過鍵盤可向CPU輸入數(shù)據(jù)信息、地址信息和各種命令。鍵盤上閉合鍵的識別是由專用硬件實現(xiàn)的稱為編碼鍵盤,而由軟件實現(xiàn)的稱為非編碼程序。鍵盤根據(jù)連接方式的不同分為獨立連接式和矩陣式。獨立式按鍵是指用一根I/O接口線構(gòu)成的單個按鍵電路。每個獨立按鍵單獨占用一根I/O接口

27、線,彼此之間相互獨立。一般當按鍵數(shù)目較少的時候選用獨立式鍵盤。矩陣式鍵盤是指由若干按鍵組成的矩陣樣式的輸入設(shè)備,包括鍵盤開關(guān)矩陣、輸出鎖存、輸入緩沖器。矩陣鍵盤識別按鍵是否按下最常用的方法是行掃描法。即由行線逐行發(fā)出低電平信號,判斷與其對應(yīng)的列線的電平信號,如果電平信號為高電平則說明沒有按鍵按下,反之。如果該電平信號為低電平則說明有按鍵按下(即低電平有效)。當按鍵較多的時候通常選用矩陣式鍵盤,節(jié)省I/O接口。常見的鍵盤掃描方式有程控掃描方式、定時掃描方式和中斷掃描方式。由于鍵盤按鍵為機械開關(guān),由于機械觸點的彈性作用。 按鍵的時候,不管是按下鍵位還是放開按鍵都會產(chǎn)生一定程度的鍵抖動,據(jù)此將按鍵分

28、為前沿抖動(按下)和后沿抖動(放開)。如果對按鍵抖動不進行處理的話,必然會導致按鍵一次而輸入多次信號,為了確保功能順利實現(xiàn)和按鍵準確,必須消除按鍵抖動,通常用硬件和軟件兩種方式來消除按鍵抖動。硬件法指在輸出線路上添加硬件線路來消除,一般采用R-S觸發(fā)器和單穩(wěn)態(tài)電路來實現(xiàn)。而軟件法則指在判斷是否有按鍵按下程序之后,添加一個延時程序(510s)當消除按鍵抖動后再次進行檢測按鍵狀態(tài),如果前后的檢測結(jié)果都是有按鍵按下,說明確實有按鍵按下。同理,當按鍵釋放時也進行相應(yīng)的處理。本設(shè)計鍵盤電路如圖4.5所示,由四位按鍵組成,其中K1控制電動機的啟動和停止,K2控制電動機的正轉(zhuǎn)和反轉(zhuǎn),K3控制電動機的加速,K

29、4控制電動機的減速。K1K2K4K3 圖4.5 鍵盤電路5 軟件設(shè)計5.1 單極性可逆電動機驅(qū)動系統(tǒng)的電動機啟停、正反轉(zhuǎn)控制1.系統(tǒng)框圖如圖5.1所示,控制系統(tǒng)由單片機、鍵盤模塊、直流電動機驅(qū)動模塊、電動機組成。單片機P2.4采集電動機啟停控制按鍵信號,P2.5采集電動機正反轉(zhuǎn)控制按鍵信號,P2.6采集電動機加速控制按鍵信號,P2.7采集電動機減速控制按鍵信號,P2.0輸出PWM脈沖,P0.0和P0.1輸出電動機啟??刂坪托D(zhuǎn)方向控制電平。P2.4 P0.0P2.5 單片機 P0.1 AT89C51P2.0 P2.6 P2.7電動機啟??刂瓢存I電動機正反轉(zhuǎn)控制按鍵直流電動機單極性可逆驅(qū)動器直流

30、電動機電樞繞組電動機加速控制按鍵電動機減速控制按鍵圖5.1 控制系統(tǒng)總圖2.軟件程序設(shè)計軟件程序包括鍵盤信號采集、PWM脈沖輸出、電動機旋轉(zhuǎn)方向電平輸出幾個部分。鍵盤信號采集 電動機啟停控制按鍵信號由單片機P2.4口采集,當采集到第一次按鍵信號時,電動機啟動;當采集到第二次按鍵信號時,電動機停止。電動機正反轉(zhuǎn)控制按鍵信號由單片機P2.5口采集,當采集到第一次按鍵信號時,電動機正轉(zhuǎn);當采集到第二次按鍵信號時,電動機反轉(zhuǎn)。電動機加速控制按鍵信號由單片機P2.6口采集,每采集到一次加速按鍵信號,PWM脈沖占空比加1%。電動機減速控制按鍵信號由單片機P2.7口采集,每采集到一次減速按鍵信號,PWM脈沖

31、占空比減1%。電動機啟停方向電平輸出 電動機停止時,單片機P0.0和P0.1口輸出低電平;電動機運轉(zhuǎn)時,單片機P0.0和P0.1口輸出高電平。電動機旋轉(zhuǎn)方向電平輸出 電動機正轉(zhuǎn)時,單片機P0.0口輸出低電平,P0.1口輸出高電平;電動機反轉(zhuǎn)時,單片機P0.0口輸出高電平,P0.1口輸出低電平。PWM脈沖輸出 PWM脈沖調(diào)速采用定頻調(diào)寬法,單片機P2.0口輸出固定頻率為1kHz的PWM脈沖,脈沖頻率通過定時中斷實現(xiàn)。5.2系統(tǒng)總體流程設(shè)計整個系統(tǒng)的軟件流程圖如下圖5.2所示。按下K1鍵,電動機啟動;按下K2鍵,電動機反轉(zhuǎn);按下K3鍵,電動機加速;按下K4鍵,電動機減速;再次按下K1鍵,電動機停止

32、。 開始系統(tǒng)初始化K1鍵按下K2鍵按下K3鍵按下K4鍵按下啟動停止正轉(zhuǎn)反轉(zhuǎn)加速減速NNNYYYYN圖5.2 系統(tǒng)結(jié)構(gòu)流程圖6 仿真調(diào)試6.1 Keil C51軟件簡介Keil C51集成開發(fā)環(huán)境是目前開發(fā)80C51內(nèi)核的微處理器軟件開發(fā)平臺,內(nèi)嵌多種符合當前工業(yè)標準的開發(fā)工具,可以完成從工程建立、管理、程序編譯、鏈接、目標代碼生成、軟硬件仿真等完整的開發(fā)流程。尤其是C編譯工具在產(chǎn)生代碼的準確性和效率方面達到了較高水平,而且可以附加靈活的控制選項,在開發(fā)大型項目時非常理想。 圖6.1 keil 界面圖6.2 Proteus仿真軟件簡介 Proteus是來自英國 Labcenter Electro

33、nics 公司的利用現(xiàn)代EDA工具方便快捷開發(fā)單片機系統(tǒng)的仿真軟件。英國 Labcenter Electronics 公司推出的Proteus,可以對基于微控制器的設(shè)計連同所有的周圍電子器件一起仿真,用戶甚至可以實時采用諸如 LED/LCD、鍵盤、RS-232終端等動態(tài)外設(shè)模型來對設(shè)計進行交互仿真。Proteus支持的微處理芯片包括8051系列、AVR系列、PIC系列、HC11系列、ARM7/LPC2000系列以及Z80等,集編輯、編譯、仿真調(diào)試與一體。它的界面(圖6.2)簡潔友好,可利用該軟件提供的數(shù)千種數(shù)字/模擬仿真元器件以及豐富的仿真設(shè)備,使得在程序調(diào)試、系統(tǒng)仿真時,不僅能觀察到程序執(zhí)行

34、過程中單片機寄存器和存儲器等內(nèi)容變化,還可從工程的角度直觀地看到外圍電路工作情況,非常接近工程應(yīng)用。另外Proteus ISIS 還能與第三方集成開發(fā)環(huán)境(如Keil C51的Vision3)進行聯(lián)合仿真調(diào)試。 圖6.2 Protues 界面圖6.3 調(diào)試將Keil C51的Vision3軟件和Proteus 軟件進行聯(lián)合調(diào)試,將硬件和軟件聯(lián)合仿真,就可進一步對改進硬件電路和軟件程序,從而最終實現(xiàn)所設(shè)計的功能。Proteus VSM 支持第三方集成開發(fā)環(huán)境IDE,兩者聯(lián)調(diào)可以提高開發(fā)效率,降低開發(fā)成本。按K1鍵,實現(xiàn)啟動功能(圖6.3);按K2鍵,實現(xiàn)反轉(zhuǎn)功能(圖6.4);按K3鍵,實現(xiàn)加速功

35、能(圖6.5);按K4鍵,實現(xiàn)減速功能(圖6.6);再次按K1鍵,實現(xiàn)停止功能(圖6.7)。 圖6.3 電動機啟動 圖6.4 電動機反轉(zhuǎn) 圖6.5 電動機加速 圖6.6 電動機減速 圖 6.7 電動機停止總結(jié)本設(shè)計實現(xiàn)了用單片機來控制直流電動機,通過按鍵可以使電動機啟停、正反轉(zhuǎn)以及加減速。其中涉及到不僅僅是我們之前學到的理論知識,考察更多的是我們的實踐能力。通過本次設(shè)計,讓我對51系列單片機的有了更加深刻的了解,特別是讓我自行學習了proteus仿真軟件,以及將其和keil軟件進行聯(lián)調(diào)進行仿真。由本次設(shè)計還可以引申更多有關(guān)用單片機控制直流電機的問題,實現(xiàn)更多的功能,比如可以通過數(shù)碼管將電動機的

36、速度顯示出來;還可以加入速度限制,如果超出限制范圍,蜂鳴器會發(fā)出響聲來報警;還可以加入反饋環(huán)節(jié),做一個恒速的直流電機,如果輸入和預(yù)設(shè)值有出入,將會自動調(diào)節(jié)到預(yù)設(shè)值等等。這些在未來都將成為現(xiàn)實。通過這次設(shè)計,中間遇到過各種困難,讓我意識到實踐和理論的差距真的很大。雖然是這樣,但都通過查閱各種資料、同學之間的討論以及輔導老師給的最大幫助解決了所有的問題。這讓我深刻的認識到自己的不足,還需要繼續(xù)努力。參考文獻1薛寧.ZGB42RE微型直流電動機的控制器研究 D.內(nèi)蒙古農(nóng)業(yè)大學,20122陳隆昌,閻治安,劉正新.控制電機(第四版)M.西安:西安電子科技大學出版社,2013.43劉燦偉.基于ARM的直流

37、電機調(diào)速系統(tǒng)的研究 D.華北電力大學(北京),20074蘇神保.智能小車單片機控制直流電機方案與設(shè)計 J.湖南農(nóng)機,20095李廣弟,朱月秀,冷祖祁.單片機基礎(chǔ)(第三版)M.北京:北京航空航天大學出版社,2007.66龍威林,楊冠聲,胡山.單片機應(yīng)用入門:AT89S51和AVRM.北京:化學工業(yè)出版社,20087張軍.Proteus軟件仿真與Keil的單片機系統(tǒng)設(shè)計 J.單片機與嵌入式系統(tǒng)應(yīng)用,20098張齊,朱寧西,畢盛.單片機原理與嵌入式系統(tǒng)設(shè)計:原理、應(yīng)用、Proteus仿真、實驗設(shè)計M.北京:電子工業(yè)出版社,20119林立,張俊亮.單片機原理及應(yīng)用:基于Proteus和Keil CM.

38、北京:電子工業(yè)出版社,200910張齊.單片機原理與應(yīng)用系統(tǒng)設(shè)計:基于C51的Proteus仿真實驗與解題指導M.北京:電子工業(yè)出版社,201011韓福柱.基于DSP的恒張力走絲系統(tǒng)設(shè)計 J.電加工與模具,200612冉偉剛.基于凌陽SPCE061A單片機智能小車的設(shè)計 J.甘肅科技,201113許立瑾.立體車庫無線通信控制系統(tǒng)的研究 D.天津理工大學,2009 14鄧莉.基于FPGA水聲通訊編碼的設(shè)計與實現(xiàn) J.電子測量技術(shù),201015張鵬.電動汽車制動能量回收系統(tǒng)的研究與實現(xiàn) M.哈爾濱工業(yè)大學,201016許文芳.機械臂滑模控制方法研究及直流電機的控制設(shè)計 M. 哈爾濱理工大學,2010 17周金龍.直流電動機動態(tài)控制的研究 D.西南大學,2008 18張坤.車用柴油機冷EGR溫度控制系統(tǒng)的研究 D.山東農(nóng)業(yè)大學,2010 19張小波.淺水輕型AUV原理樣機初步

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論