創(chuàng)新性實(shí)驗(yàn)研究報(bào)告模板_第1頁
創(chuàng)新性實(shí)驗(yàn)研究報(bào)告模板_第2頁
創(chuàng)新性實(shí)驗(yàn)研究報(bào)告模板_第3頁
創(chuàng)新性實(shí)驗(yàn)研究報(bào)告模板_第4頁
創(chuàng)新性實(shí)驗(yàn)研究報(bào)告模板_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、山東科技大學(xué)電工電子實(shí)驗(yàn)教學(xué)中心 創(chuàng)新性實(shí)驗(yàn)研究報(bào)告2013-2014 學(xué)年第一 學(xué)期山東科技大學(xué)電工電子實(shí)驗(yàn)教學(xué)中心創(chuàng)新性實(shí)驗(yàn)研究報(bào)告實(shí)驗(yàn)項(xiàng)目名稱秒表設(shè)計(jì) 組長姓名 劉哲 學(xué)號 1101100815 聯(lián)系電話E-mail 779682654qq .com 成員姓名 王銘新 學(xué)號 1101100935 成員姓名 李皓晨 學(xué)號 1101100712 專 業(yè) 自動(dòng)化 班級 2011級4班 指導(dǎo)教師及職稱 呂常智 2014年 1 月 3 日一、實(shí)驗(yàn)摘要 我們在QuartusII軟件平臺上,運(yùn)用VHDL語言,完成了數(shù)字時(shí)鐘設(shè)計(jì)的軟件編程、編譯、綜合、仿真,成功完成仿真之后,我

2、們到實(shí)驗(yàn)室使用EDA實(shí)驗(yàn)箱,實(shí)現(xiàn)數(shù)字秒表的驗(yàn)證。在我們試驗(yàn)中最關(guān)鍵的就是獲得100Hz計(jì)數(shù)脈沖,除此之外,數(shù)字秒表需有清零控制端,以及啟動(dòng)控制端、保持保持,以便數(shù)字時(shí)鐘能隨意停止及啟動(dòng).數(shù)字時(shí)鐘由分頻率器,十二或二十四進(jìn)制計(jì)數(shù)器,六十進(jìn)制計(jì)數(shù)器,六進(jìn)制計(jì)數(shù)器,十進(jìn)制計(jì)數(shù),掃描顯示譯碼器組成,能夠完成清零、啟動(dòng)、保持(可以使用鍵盤或撥碼開關(guān)置數(shù))功能以及時(shí)、分、秒、百分之一秒顯示準(zhǔn)確。二、實(shí)驗(yàn)?zāi)康膶W(xué)會采用QuartusII工具,深入理解并根據(jù)計(jì)數(shù)器的設(shè)計(jì)原理利用VHDL語言實(shí)現(xiàn)一個(gè)秒表,要求計(jì)數(shù)到59.59顯示,時(shí)鐘源為1HZ,具有清零的功能,利用波形文件進(jìn)行軟件仿真,并且在試驗(yàn)箱上進(jìn)行驗(yàn)證,用

3、4個(gè)數(shù)碼管分別顯示。綜合實(shí)驗(yàn)系統(tǒng)的使用方法,熟悉創(chuàng)新性實(shí)驗(yàn)報(bào)告的撰寫方法。我們本組在此基礎(chǔ)上一塊完成了對分的計(jì)數(shù)。三、實(shí)驗(yàn)場地及儀器、設(shè)備和材料:試驗(yàn)場地:EDA實(shí)驗(yàn)室試驗(yàn)設(shè)備: 計(jì)算機(jī)、QuartusII軟件、EDA試驗(yàn)箱四、實(shí)驗(yàn)內(nèi)容1、實(shí)驗(yàn)原理簡單原理就是由10MHZ的信號脈沖通過100000進(jìn)制計(jì)數(shù)器來提供100HZ的信號,然后信號進(jìn)入百分之一秒的個(gè)位10進(jìn)制計(jì)數(shù)器,當(dāng)計(jì)數(shù)達(dá)到十,產(chǎn)生進(jìn)位信號到下一級,當(dāng)百分之一秒的十位計(jì)數(shù)器達(dá)到十時(shí),產(chǎn)生進(jìn)位信號作為秒計(jì)數(shù)器的輸入信號,以此類推;并且,百分之一秒計(jì)數(shù)器,秒計(jì)數(shù)器,分計(jì)數(shù)器,時(shí)計(jì)數(shù)器的計(jì)數(shù)信號由led<7:0>輸至數(shù)碼管顯示。

4、1. clk信號為實(shí)驗(yàn)板上的標(biāo)準(zhǔn)的1Hz脈沖2en信號為啟動(dòng)、保持端,en信號為低電平,此時(shí)所有的顯示器均暫停工作,保持原來狀態(tài),en為高電平時(shí),所有的顯示器均從先前狀態(tài)繼續(xù)遞增的工作3clr信號為低電平清零信號4. led<7:0>信號是來驅(qū)動(dòng)數(shù)碼管顯示的5. sel<2:0>為位選擇控制信號,決定哪一個(gè)數(shù)碼管顯示數(shù)字2、實(shí)驗(yàn)內(nèi)容 1.根據(jù)電路特點(diǎn),用層次設(shè)計(jì)概念。將此設(shè)計(jì)任務(wù)分成若干設(shè)計(jì)模塊,規(guī)定每一模塊的功能和各模塊之間的接口,同時(shí)加深層次化設(shè)計(jì)概念。 2.軟件的元件管理深層含義,以及元件模塊之間的連接概念; 3.適配劃分前后的仿真內(nèi)容有何不同概念,仿真信號對象有

5、何不同,會有更深一步的了解。 4.按適配劃分后的管腳定位,同相關(guān)功能塊硬件電路接口連線。 5.所有模塊用VHDL語言設(shè)計(jì)3、實(shí)驗(yàn)步驟設(shè)計(jì)數(shù)字秒表電路邏輯圖如下: 啟動(dòng)QuartusII工具,打開輸入程序窗口,將程序打入運(yùn)行,程序如下: library ieee; use ieee.std_logic_1164.all;USE ieee.std_logic_UNSIGNED.all; ENTITY MIAOBIAO IS PORT(CLK,CLK1,STA,POS,STO,RST:IN STD_LOGIC; CQ1,CQ2,CQ3,CQ4,CQ5,CQ6:OUT STD_LOGIC_VECTOR

6、(3 DOWNTO 0); CLK2:OUT STD_LOGIC);END MIAOBIAO;ARCHITECTURE BEHAV OF MIAOBIAO IS BEGIN PROCESS(CLK) VARIABLE NUM:INTEGER RANGE 0 TO 3600; VARIABLE Q:STD_LOGIC; BEGIN IF CLK'EVENT AND CLK ='1' THEN IF NUM=3600 THEN NUM:=0;Q:=NOT Q; ELSE NUM:=NUM+1; END IF; END IF; CLK2<=Q; END PROCESS;

7、 PROCESS(CLK1,STA,POS,STO,RST) VARIABLE CQI1:STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE CQI2:STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE CQI3:STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE CQI4:STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE CQI5:STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE CQI6:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

8、 IF STO='1'THEN CQI1:=(OTHERS=>'0');CQI2:=(OTHERS=>'0');CQI3:=(OTHERS=>'0'); CQI4:=(OTHERS=>'0');CQI5:=(OTHERS=>'0');CQI6:=(OTHERS=>'0'); ELSIF CLK1'EVENT AND CLK1='1' THEN IF STA='1'THEN IF RST='0'

9、THEN IF POS='0'THEN IF CQI1="1001"THEN CQI1:=(OTHERS=>'0'); IF CQI2="1001"THEN CQI1:=(OTHERS=>'0'); IF CQI3="1001"THEN CQI1:=(OTHERS=>'0'); IF CQI4="0101"THEN CQI1:=(OTHERS=>'0'); IF CQI5="1001"THEN

10、 CQI1:=(OTHERS=>'0'); IF CQI6="0101"THEN CQI1:=(OTHERS=>'0'); ELSE CQI6:=CQI6+1; END IF; ELSE CQI5:=CQI5+1; END IF; ELSE CQI4:=CQI4+1; END IF; ELSE CQI3:=CQI3+1; END IF; ELSE CQI2:=CQI2+1; END IF; ELSE CQI1:=CQI1+1; END IF; END IF; END IF; END IF; IF RST ='1'

11、THEN CQI1:=(OTHERS=>'0');CQI2:=(OTHERS=>'0'); CQI3:=(OTHERS=>'0');CQI4:=(OTHERS=>'0'); 對程序進(jìn)行編輯后仿真 CLK1,CLK2信號已取值可還是沒進(jìn)行功能測試的輸入信號狀態(tài)如下: STA=0; POS=0; RST=0; STO=0;要實(shí)現(xiàn)該秒表功能分別改變輸入信號狀態(tài)。這時(shí)一定要注意時(shí)鐘信號穩(wěn)定性 1. 開始鍵按下STA=1,秒表計(jì)數(shù)開始 2. 給時(shí)鐘后 實(shí)現(xiàn)暫停功能 3. 給時(shí)鐘后,實(shí)現(xiàn)復(fù)位功能 4. 停止鍵按下停止功

12、能五、實(shí)驗(yàn)結(jié)果與分析1、實(shí)驗(yàn)現(xiàn)象、數(shù)據(jù)記錄 1. 開始鍵按下STA=1,秒表計(jì)數(shù)開始 2. 給時(shí)鐘后 實(shí)現(xiàn)暫停功能3.給時(shí)鐘后,實(shí)現(xiàn)復(fù)位功能 4停止鍵按下停止功能 2、對實(shí)驗(yàn)現(xiàn)象、數(shù)據(jù)及觀察結(jié)果的分析與討論: 從暫停鍵按下(pos=1)圖中可以看出:輸出(CQ)保持不變,直到暫停鍵再次按下(pos=0),輸出才繼續(xù)計(jì)數(shù),從而實(shí)現(xiàn)了暫停的功能。 當(dāng)復(fù)位鍵按下后(rst=1),輸出(CQ)全部變?yōu)閺?fù)位狀態(tài)(0),直到復(fù)位鍵再次按下,輸出才繼續(xù)計(jì)數(shù),從而實(shí)現(xiàn)了復(fù)位功能。 當(dāng)停止鍵按下后(sto=1),輸出(CQ)全部保持當(dāng)前狀態(tài)不變,直到停止鍵再次按下(sto=0),輸出才從全零狀態(tài)繼續(xù)計(jì)數(shù),從而實(shí)現(xiàn)停止功能。3、關(guān)鍵點(diǎn): 綜合功能的實(shí)現(xiàn): 開始鍵按下(sta=1)后,秒表計(jì)數(shù)開始。復(fù)位鍵按下后(rst=1),輸出全部變?yōu)閺?fù)位狀態(tài)(0),直到復(fù)位鍵再次按下當(dāng)復(fù)位鍵按下后(rst=1),輸出才繼續(xù)計(jì)數(shù),從而實(shí)現(xiàn)了復(fù)位功能。當(dāng)停止鍵按下后(sto=1),輸出(CQ)全部保持當(dāng)前狀態(tài)不變,直到停止鍵再次按下(sto=0),輸出才從

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論