可編程信號發(fā)生器設(shè)計與實現(xiàn)_第1頁
可編程信號發(fā)生器設(shè)計與實現(xiàn)_第2頁
可編程信號發(fā)生器設(shè)計與實現(xiàn)_第3頁
可編程信號發(fā)生器設(shè)計與實現(xiàn)_第4頁
可編程信號發(fā)生器設(shè)計與實現(xiàn)_第5頁
已閱讀5頁,還剩29頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、可編程信號發(fā)生器設(shè)計與實現(xiàn)摘要:信號發(fā)生器是一種常見的信號源,在生產(chǎn)實踐、科技領(lǐng)域和教學(xué)方面都有著廣泛的應(yīng)用。由分立元件組成的信號發(fā)生器,電路結(jié)構(gòu)較復(fù)雜,產(chǎn)生波形種類少,電參數(shù)調(diào)節(jié)不精確;利用單片機,采用軟硬件結(jié)合設(shè)計的信號發(fā)生器,具有電路結(jié)構(gòu)簡單、性能穩(wěn)定、價格便宜等優(yōu)點,具備一定的研究價值。本設(shè)計采用STC89C52單片機為系統(tǒng)核心,內(nèi)部寫入波形程序,外部接DAC0832數(shù)模轉(zhuǎn)換器進行數(shù)模轉(zhuǎn)換,再通過運放電路處理后輸出波形。本次設(shè)計成果能產(chǎn)生三種基本波形(正弦波、方波和三角波),三種波形通過按鍵切換,波形頻率通過數(shù)碼管顯示,通過頻率加減鍵可實現(xiàn)頻率的改變,并且波形幅度可調(diào)。本設(shè)計的可編程性

2、體現(xiàn)在可以靈活的對單片機內(nèi)部程序進行修改和拓展??傮w來看,本設(shè)計電路簡單、布局合理、軟件功能強大,整體上達成了預(yù)想效果。關(guān)鍵詞:信號發(fā)生器;STC89C52; DAC0832;運放;可編程中圖分類號:TN41The Design and Implementation of Programmable Signal GeneratorAbstract: The signal generator is a common source of signal, in the field of production practice, science and technology and teac

3、hing have a wide range of applications. By the signal generator of the discrete component, the circuit structure is complex, the waveform is less and the electric parameters are not adjusted; the signal generator with the combination of hardware and software with the use of single-chip microcomputer

4、, with the advantages of simple circuit, stable performance and cheap price, etc, have a certain research value.The design used STC89C52 microcontroller as the core of the system, the waveform program was deposited in the microcontroller, connected DAC0832 d/a converter to convert digital to analog,

5、 then through the op-amp circuit output waveform after processing. The design results can produce three basic waveforms(sine wave, square wave and triangular wave), three waveforms switch by button, frequency of the waveform through a digital tube display, it can be achieved through frequency plus a

6、nd minus keys to change the frequency, and waveform amplitude can be adjusted. The design of the programmable embodied in the flexibility of single chip microcomputer internal procedures to modify and expand. Overall, the design of simple circuit, reasonable layout, powerful software, as a whole to

7、achieve the expected effect.Keywords: Signal Generator; STC89C52; DAC0832; Operational Amplifier ; Programmable.Classification: TN41目 次摘要I目次III1 緒論11.1 研究背景和意義11.2 發(fā)展歷程及現(xiàn)狀12 總體設(shè)計32.1 設(shè)計要求32.2 設(shè)計方案33 硬件設(shè)計53.1 單片機最小系統(tǒng)53.2 數(shù)碼管顯示模塊63.3 系統(tǒng)電源模塊73.4 DAC0832模塊83.5 按鍵模塊113.6 整體電路123.7 PCB布線圖的制作124 軟件設(shè)計144.1

8、主程序流程圖144.2 中斷程序流程圖144.3 按鍵掃描和頻率顯示流程圖154.4 波形產(chǎn)生流程圖164.5 延時子程序設(shè)計165 系統(tǒng)仿真與調(diào)試175.1 PROTEL仿真軟件175.2 STC-ISP程序燒錄軟件185.3 系統(tǒng)軟硬件調(diào)試195.4 系統(tǒng)測試196 結(jié)論22參考文獻23附錄A 元器件清單23附錄B 電路原理圖25附錄C PCB圖26附錄D 程序27作者簡歷31學(xué)位論文數(shù)據(jù)集3230中國計量學(xué)院現(xiàn)代科技學(xué)院本科畢業(yè)設(shè)計(論文)1 緒論1.1 研究背景和意義就現(xiàn)在的科技水平而言,研制一個高性能的可編程信號發(fā)生器已不是難事。盡管如此,對于一個即將畢業(yè)的大學(xué)生來說,運用大學(xué)四年所

9、學(xué)來制作一個這樣的信號發(fā)生器 1-2 多少還是有點挑戰(zhàn)的,包括硬件和軟件的設(shè)計,最后的調(diào)試與測試。要完成這樣一個設(shè)計,需要有足夠的專業(yè)知識和足夠強的綜合運用能力,這也是檢驗一個電子信息工程專業(yè)的學(xué)生進過四年學(xué)習(xí)是否合格的重要途徑。對信號發(fā)生器的研究已經(jīng)很多了,它作為一種實驗室必備的電子設(shè)備,在電參數(shù)測量和測試工作中不可或缺,它的地位顯而易見。它是應(yīng)用領(lǐng)域相當(dāng)之廣的信號源,在現(xiàn)代的電子電路、通信系統(tǒng)、測控系統(tǒng)、科學(xué)實驗等領(lǐng)域的電參量的測量和測試都要用到它??梢赃@么說,如果沒有信號發(fā)生器就沒有現(xiàn)在精密的電子儀器。當(dāng)然這個前提是先要有性能良好的信號發(fā)生器,因此研制性能可靠并且功能多樣化的信號發(fā)生器就

10、顯得十分必要?,F(xiàn)代電子測量和測試的結(jié)果要求能真實的反映電子設(shè)備的情況,原有的信號發(fā)生器所提供的信號源已難以滿足現(xiàn)在的需求,這就迫使要對現(xiàn)有的信號發(fā)生器做進一步的改進和完善,使改進后的信號發(fā)生器結(jié)構(gòu)和功能更加完整,能產(chǎn)生精準(zhǔn)無失真的波形,擁有更寬的頻率,各方面性能表現(xiàn)更為優(yōu)秀。為了在電子設(shè)備舞臺展現(xiàn)更好的用途和更高的價值,也為了使科研或教學(xué)工作做得更好,研制高性能,低成本,可編程,功能多樣的信號發(fā)生器不無意義。1.2 發(fā)展歷程及現(xiàn)狀信號發(fā)生器是電子設(shè)備家族中有著悠久歷史的一員,其歷史最早可追溯到二十個世紀(jì)20年代電子儀器剛進入人們視線的時候。40年代的信號發(fā)生器比起20年代的雖然有了明顯的進步,

11、但是功能還是略顯單一,因此作用也十分有限,主要用于接收機的測試工作。功能上的不足嚴(yán)重影響了信號發(fā)生器的發(fā)展,技術(shù)的革新顯得是那么的重要。60年代,科技的進步推動了信號發(fā)生器的技術(shù)革新。那個時候,以合成信號發(fā)生器、函數(shù)信號發(fā)生器 3 、掃頻信號發(fā)生器等為代表的,相對而言性能更為優(yōu)良好的新興信號發(fā)生器紛紛出現(xiàn)。但是由于技術(shù)的局限性,此時的信號發(fā)生器基本上是基于模擬電子技術(shù),輸出波形種類少,模擬電路的致命缺點直接影響了輸出的波形幅度的穩(wěn)定性,可以說,這個時期的信號發(fā)生器無論是結(jié)構(gòu)上還是功能上都需要做進一步的改進。70年代,由于以單片機為代表的微處理器的出現(xiàn),函數(shù)信號發(fā)生器迎來了革命性的變化。單片機和

12、D/A轉(zhuǎn)換器的引入,信號發(fā)生器的結(jié)構(gòu)上首次實現(xiàn)了硬件和軟件的結(jié)合,直接導(dǎo)致了信號發(fā)生器功能上的擴大,輸出的波形更為多樣。這時期的信號發(fā)生器不再只有單一的硬件電路,而是運用軟硬件結(jié)合的方法來制作信號發(fā)生器,并且還是以軟件為主,這一點使其有了根本性的變化,可編程是這一時期的信號發(fā)生器的主要特點。本設(shè)計也是采用這種硬件結(jié)合軟件的方法來制作的。然而波形低頻是軟件控制的缺點所在,這是需要改進的地方。80年代起到現(xiàn)在,數(shù)字電子技術(shù) 4 的出現(xiàn)給信號發(fā)生器帶來了又一次的變革。二十一世紀(jì)以來,以計算機科學(xué)技術(shù)為基礎(chǔ),以集成電路技術(shù)為主導(dǎo)研制手段占據(jù)主流位置。無論是早先的FPGA技術(shù),還是近10年來發(fā)展起來的D

13、DS技術(shù),信號發(fā)生器的研究手段都顯得十分成熟,可以說信號發(fā)生器又迎來了新一輪的發(fā)展。2 總體設(shè)計2.1 設(shè)計要求根據(jù)任務(wù)書有如下設(shè)計要求:1、學(xué)習(xí)并掌握MCS-51單片微機的原理 5-6 及系統(tǒng)設(shè)計方法;學(xué)習(xí)MCS-51編程方法;學(xué)習(xí)用 Protel畫PCB圖。2、設(shè)計和制作基于單片機的波形發(fā)生器的硬件電路?;疽螅赫麄€系統(tǒng)受單片機的控制,系統(tǒng)配置以D/A轉(zhuǎn)換器、LED數(shù)碼管、鍵盤等,能產(chǎn)生基本波形,并用鍵盤控制輸出不同頻率和幅度可調(diào)的波形。3、根據(jù)相應(yīng)的軟件調(diào)試硬件電路。2.2 設(shè)計方案本系統(tǒng)受51系列單片機STC89C52芯片控制,系統(tǒng)具備運算控制、波形切換、頻率顯示和改變以及可編程的功

14、能。從整體上看能方便地對系統(tǒng)進行功能的擴張和更改,整個系統(tǒng)具有十分靈活的可編程性。以單片機最小系統(tǒng)為基礎(chǔ),外圍加上多個模塊電路,所設(shè)計的信號發(fā)生器能夠輸出三種基本波形,分別為正弦波、方波和三角波,且每種波形的頻率用數(shù)碼管來顯示,同時設(shè)置三個按鍵(不包括復(fù)位鍵和電源鍵)來實現(xiàn)的波形切換和頻率的改變,以上是總體方案設(shè)計。以下所述為方案設(shè)計的具體思路:該系統(tǒng)就一個電源進行供電,電源電壓確定為+5V,波形頻率在10Hz到1000Hz范圍內(nèi)可隨意改變。利用STC89C52最小系統(tǒng)驅(qū)動四位數(shù)碼管顯示系統(tǒng),數(shù)碼管第一位顯示波形指示(1為正弦波、2為方波、3為三角波),其他三位顯示頻率值。波形的數(shù)字量由單片機

15、內(nèi)部程序運行產(chǎn)生,并被輸送到DAC0832數(shù)模轉(zhuǎn)換 7 芯片中,在完成轉(zhuǎn)換變成模擬量后,再輸入到運算放大電路進行放大處理,最后由輸出接口輸入到示波器顯示出來。為了使最終的電路結(jié)構(gòu)更加簡潔美觀,電子器件需合理布局,因此在畫PCB圖時需合理的對各個元器件進行擺放,力求達到最好的效果。STC89C52、DAC0832等芯片都是比較大的芯片,所以按鍵部分要盡量減小占用面積,因此本次設(shè)計有關(guān)波形的操作只采用三個按鍵(不包括復(fù)位鍵和電源鍵),一個按鍵用于波形切換,另外兩個用于頻率加減。在接通電源后,連續(xù)按下波形切換鍵,在示波器上可逐次顯示出正弦波,方波以及三角波。按頻率改變按鍵,在數(shù)碼管上顯示的頻率值做出

16、相應(yīng)的變化,增加或者減小。DAC0832數(shù)模轉(zhuǎn)換芯片的作用是將單片機程序運行產(chǎn)生的數(shù)字信號轉(zhuǎn)換為模擬電流信號輸出,完成D/A轉(zhuǎn)換任務(wù),其輸出的電流是不能產(chǎn)生波形的,所以需要輸入到運放電路(LM358芯片)將電流轉(zhuǎn)換成電壓。DAC0832有單緩沖、雙緩沖和直通三種工作方式,本次設(shè)計根據(jù)實際需求而采用了直通工作方式,即片選信號引腳,寫信號引腳以及傳輸控制信號引腳均接地,允許輸入鎖存信號引腳接高電平。直通工作方式下,數(shù)字信號進入DAC0832芯片后,立刻被送往DAC寄存器進行數(shù)模轉(zhuǎn)換。同相運算放大電路中的LM358芯片采用單電源供電。LED數(shù)碼管接法:數(shù)碼管四個位選引腳和單片機P2口中的P2.4,P

17、2.5,P2.6以及P2.7引腳相連;數(shù)碼管八個段選引腳分別與P0口的P0.0到P0.7引腳連接。DAC0832接法:芯片的D0到D7引腳和單片機P1口的P1.0到P1.7引腳相連接,用于傳送數(shù)據(jù),其他引腳采用直通工作方式進行連接。波形切換和頻率改變按鍵接法:三個按鍵采用輕觸開關(guān),波形切換按鍵與單片機P3.5引腳連接;頻率改變按鍵與P3.6和 P3.7引腳連接。上述接法的具體情況將在本文第四章硬件設(shè)計中各個模塊電路的具體連接圖中給出。綜上所述可以給出如圖2-1所示的總體框圖。圖2-1 總體框圖3 硬件設(shè)計3.1 單片機最小系統(tǒng)單片機最小系統(tǒng) 8-9 作為本系統(tǒng)的核心組成部分,起著主控作用,本設(shè)

18、計的可編程性也體現(xiàn)在這里。該最小系統(tǒng)決定由STC89C52芯片,復(fù)位電路和時鐘電路組成。各個元器件參數(shù)和引腳連接方法 10 如圖3-1所示。圖3-1 單片機最小系統(tǒng)組成圖本設(shè)計上電時系統(tǒng)將自動進行復(fù)位,按復(fù)位按鍵也能對系統(tǒng)進行復(fù)位。復(fù)位電路部分,電容C1和電阻R2中間引出導(dǎo)線與單片機RST引腳相連。我們知道當(dāng)將兩個機器周期(12M晶振的話就是2us)的高電平,加在單片機的RST引腳上時即可實現(xiàn)系統(tǒng)復(fù)位。先介紹上電復(fù)位:給系統(tǒng)通電時電源對電解電容C1進行充電,利用該過程來實現(xiàn)系統(tǒng)復(fù)位。上電的一剎那,電容C1相當(dāng)于是短路狀態(tài),此時RST端的電壓與VCC相同,復(fù)位;C1兩端電壓因持續(xù)充電而不斷增大,

19、增大到與VCC相同時,電路便不再產(chǎn)生充電電流。此時電容處的狀態(tài)相當(dāng)于是開路,它和電阻之間接地,導(dǎo)致RST引腳與地相連,不復(fù)位。按鍵復(fù)位方式的原理:整個系統(tǒng)一開始先進行上電復(fù)位,即按下電源開關(guān)給系統(tǒng)通電時。當(dāng)復(fù)位鍵S2受到按壓時,RST引腳跟VCC連接處于高電平,系統(tǒng)復(fù)位;此時電容由于短路而對外放電;不再按S2時,相當(dāng)于又是上電過程,不在贅述。本設(shè)計的定時控制功能是用時鐘電路和振蕩器 11 組成的電路來完成的,其連接方式可分為外部時鐘方式和內(nèi)部時鐘方式兩種,時鐘電路以內(nèi)部時鐘方式給系統(tǒng)提供時鐘信號,即將晶振和電容接入單片機片內(nèi)振蕩電路輸入端和片內(nèi)振蕩電路輸出端,這樣就形成了一個自激振蕩器,采用1

20、2MHz的晶振CR1,電容C2,C3的電容值為22pF。單片機的運算速度與時鐘頻率成正比,本系統(tǒng)12Mhz的晶振與單片機內(nèi)部電路相結(jié)合提供了既定的時鐘頻率,決定著單片機的運算處理能力。由上述時鐘電路產(chǎn)生的時鐘頻率完全符合本系統(tǒng)所需的時鐘信號。電容C2,C3的作用有兩個,一是對振蕩器進行頻率微調(diào),使振蕩信號頻率與晶振頻率一致;二就是幫助振蕩器起振。3.2 數(shù)碼管顯示模塊圖3-2 數(shù)碼管顯示模塊數(shù)碼管顯示模塊 12 電路中各個元器件參數(shù)和引腳連接方法如圖3-2所示,單片機內(nèi)部程序運行產(chǎn)生的數(shù)據(jù)從P0輸出顯示在數(shù)碼管上。P0輸出電流很小,不足以驅(qū)動數(shù)碼管,外接上拉電阻能有效的解決這個問題。輸出電流能

21、力取決于上拉排阻的阻值,阻值小其輸出高電平時提供的電流就大,此處上拉排阻阻值為1K。另外為了能使數(shù)碼管正常工作,在使用數(shù)碼管時需要注意其使用條件并且要注意使用的相關(guān)事項。1)使用條件: 七段數(shù)碼管加上小數(shù)點就是八個,都必須加限流電阻(起保護作用); 各段以及小數(shù)點的發(fā)光顏色決定其使用電壓的情況; 電流方面制約條件:靜態(tài)情況時,總電流限定在80mA之內(nèi);動態(tài)情況下,平均電流在4到5mA之間;電流最大值不得超過100mA。2)注意事項: 由于是數(shù)碼管容易損壞的電子設(shè)備,所以在使用時候盡量不要觸摸數(shù)碼管表面,尤其注意不要去亂掰引角以免損壞; 焊接時要快準(zhǔn)穩(wěn),一般焊接溫度控制在260度左右,焊接時間在

22、5秒以內(nèi)。 數(shù)碼管若發(fā)光暗淡或有些段沒亮說明該數(shù)碼管已經(jīng)老化或者局部已經(jīng)損壞。如圖3-3所示為四位數(shù)碼管引腳圖,圖中數(shù)碼管從右到左的位選分別標(biāo)注為1到4,dp當(dāng)共地端使用,該顯示模塊所用數(shù)碼管為共陰極數(shù)碼管,在使用時dp端接地。圖3-3 四位數(shù)碼管將七個發(fā)光二級管按一定的邏輯結(jié)構(gòu)進行連接,外加一個小數(shù)點就構(gòu)成了一個LED數(shù)碼管。各段和小數(shù)點由字母a到g和dp進行區(qū)分,字母標(biāo)示如圖3-4所示。圖3-4 LED數(shù)碼管3.3 系統(tǒng)電源模塊如圖3-5所示的系統(tǒng)電源模塊電路相對簡單,其中包括一個LED指示燈,一個限流電阻R1和一個自鎖開關(guān)S1。各個元器件參數(shù)和引腳連接方法均在電路中給出。圖3-5 系統(tǒng)電

23、源模塊開關(guān)直接控制電源的接通與斷開,LED指示燈的亮滅分別對應(yīng)電源的通斷。為了直觀的描述該電源模塊電路圖中自鎖開關(guān)工作的工作過程,故做以下闡述:自鎖開關(guān)顧名思義就是當(dāng)?shù)谝淮伟聪虏⑺墒趾?,開關(guān)接通,此時處于下壓狀態(tài),不會彈起,因為內(nèi)部自帶機械鎖定結(jié)構(gòu),此時處于鎖定狀態(tài);當(dāng)需要斷開時,需要再次下壓才完成解鎖,此時按鈕跳起來恢復(fù)到原狀。3.4 DAC0832模塊DAC0832模塊 13-14 完成數(shù)據(jù)從數(shù)字量到模擬量的變換,所接的運放電路完成電流到電壓的變換。該包括有1個DAC0832芯片、1個運放LM358芯片、1個可調(diào)電阻RV1、1個電容C4以及3個電阻R3,R4,R5。該模塊電路中各個元器件參

24、數(shù)和引腳連接方法如圖3-6所示。圖3-6 DAC0832模塊DAC0832介紹:DAC0832是一種常見的D/A轉(zhuǎn)換集成芯片,其采樣頻率為八位。該芯片由于其各方面性能十分優(yōu)越,所以在單片機應(yīng)用的數(shù)模轉(zhuǎn)換工作中被廣泛的使用。8位輸入鎖存器,加上8位DAC寄存器和8位數(shù)模轉(zhuǎn)換電路,再加上轉(zhuǎn)換控制電路共同組成了數(shù)模轉(zhuǎn)換器的內(nèi)部電路。DAC0832內(nèi)部結(jié)構(gòu)圖如圖3-7所示,DAC0832外部引腳圖如圖3-8所示。圖3-7 DAC0832內(nèi)部結(jié)構(gòu)圖圖3-8 DAC0832引腳圖DAC0832引腳功能:* D0D7:八個數(shù)字信號輸入端;* ILE:輸入鎖存允許信號,高電平有效(直通工作方式下接高電平);*

25、 CS:片選信號,低電平有效(直通工作方式下接地);* WR1:寫信號1,低電平有效(直通工作方式下接地);* XFER:傳送控制信號端,低電平有效(直通工作方式下接地);* WR2:寫信號2,低電平有效(直通工作方式下接地);* IOUT1:DAC電流輸出端1;* IOUT2:DAC電流輸出端2;* RFB: 反饋信號輸入端;* VCC: 電源輸入端(+5V+15V);* VREF:基準(zhǔn)電壓輸入端(-10V+10V);* AGND:模擬信號地;* DGND:數(shù)字信號地。DAC0832的工作原理:DAC0832內(nèi)部電路由一個八位輸入寄存器、一個八位DAC寄存器、一個八位D/A轉(zhuǎn)換器以及一個輸入

26、控制電路組成。DAC0832芯片D0D7引腳輸入的數(shù)字信號被存放在八位輸入寄存器中,做緩沖和鎖存處理;而即將轉(zhuǎn)換的數(shù)字信號則存放在八位DAC寄存器中等待轉(zhuǎn)換;八位D/A轉(zhuǎn)換器輸出經(jīng)轉(zhuǎn)換后的模擬電流,該模擬量與轉(zhuǎn)換前的數(shù)字量成正比;兩個寄存器的選通或鎖存狀態(tài),由與門和非與門組成的輸入控制電路進行控制。D/A轉(zhuǎn)換在本系統(tǒng)中起著十分關(guān)鍵的作用。DAC0832有多種工作方式,由于本系統(tǒng)轉(zhuǎn)換后只需輸出電流,所以選擇了直通工作方式,即片選信號引腳,寫信號引腳以及傳輸控制信號引腳均接地,允許輸入鎖存信號引腳接高電平。LM358介紹:LM358是雙運算放大器組成,采用DIP8 或SOP8 封裝形式,單電源或雙

27、電源均可正常工作。LM358的優(yōu)異特性使它得到了廣泛的運用,圖3-9 所示為LM358結(jié)構(gòu)圖。圖3-9 LM358結(jié)構(gòu)圖LM358特點和特性:* 包含兩個運算放大器;* 可單電源或雙電源工作;* 邏輯電路匹配;* 功耗低;* 電壓增益高;* 內(nèi)部頻率補償;* 頻率范圍寬;* 低輸入偏流;* 輸出電壓擺幅大。LM358同相放大電路完成了從電流到電壓的轉(zhuǎn)換工作,從電路圖中可以計算得到放大倍數(shù)AV=(1+R5/R4)=1+1/1=2倍。為了使最終輸出的波形沒有雜波,還需通過瓷片電容C4的濾波處理。波形幅度的調(diào)節(jié)可在這部分電路中操作完成,即通過旋轉(zhuǎn)可調(diào)電阻RV1對波形幅度進行調(diào)節(jié)。3.5 按鍵模塊圖3

28、-10 按鍵模塊按鍵模塊實現(xiàn)波形切換與調(diào)頻功能,由三個輕觸開關(guān)組成,分別接單片機P3口中的P3.5、P3.6和P3.7引腳,按鍵S3用于波形切換,按鍵S4和S5用于頻率改變(S4加,S5減)。該模塊電路中各個元器件參數(shù)和引腳連接方法如圖3-10所示。3.6 整體電路圖3-11所示為整體電路。圖3-11 整體電路3.7 PCB布線圖的制作電子器件的排布直接影響整體電板的美觀簡潔與否,因此PCB圖的繪制工作就顯得非常重要,繪制過程需要足夠的耐心和線路理解能力。本設(shè)計的PCB圖在protel 99 se 軟件工具上制作完成。具體制作過程如下:1. 首先創(chuàng)建一個工程;2. 建一個原理圖文件;3. 添加

29、和刪除原理圖的庫;4. 添加元器件并確定封裝;5. 用戶創(chuàng)建元件和封裝;6. 編譯并查看編譯錯誤;7. 生成PCB;8. 調(diào)整PCB位置和圖的大小;9. 擺放元件;10. 設(shè)置板子形狀;11. 修改顯示的文字;12. 布線前設(shè)置電氣特性;13. 布線;14. 寫制版日期等標(biāo)志;15. 覆銅;16. 另存為.pcb文件;17. 生成該PCB板的庫。制作完成后的PCB布線圖如圖3-12所示。圖3-12 PCB布線圖4 軟件設(shè)計本設(shè)計的軟件是在Keil C51單片機軟件開發(fā)系統(tǒng)上使用C語言 15-16 進行編寫。Keil為軟件開發(fā)人員提供了一個簡單易學(xué)的集成開發(fā)環(huán)境,其內(nèi)部擁有極其強大的仿真調(diào)試工具

30、。由于該開發(fā)系統(tǒng)結(jié)構(gòu)完整功能完善,軟件開發(fā)起來效率非常高,因此受到了軟件開發(fā)者的一致親睞。4.1 主程序流程圖系統(tǒng)上電程序運行時,先進行中斷初始化,初始化定時器,調(diào)用定時器中斷處理函數(shù),然后單片機運行鍵盤掃描程序,過程中調(diào)用延時子程序,進行波形切換操作后,讀取鍵值,調(diào)用波形發(fā)生函數(shù)輸出波形;進行頻率改變操作后,讀取鍵值,調(diào)用頻率顯示函數(shù)輸出相應(yīng)頻率。圖4-1為主程序流程圖。圖4-1 主程序流程圖4.2 中斷程序流程圖本設(shè)計波形數(shù)字量使用定時器中斷處理函數(shù)發(fā)送(采樣點數(shù)為24點),程序流程圖如圖4-2所示。圖4-2 中斷程序流程圖4.3 按鍵掃描和頻率顯示流程圖按鍵掃描程序的作用是從按鍵獲取按鍵

31、信息,根據(jù)按鍵信息來處理相應(yīng)的子程序。一個好的按鍵掃描程序的處理結(jié)果,能給操作者提供良好的操作體驗,能進行更好的人際對話,因此該子程序的編寫不可忽視。顯示函數(shù)是用于讓數(shù)碼管顯示波形的頻率,數(shù)碼管使用的是靜態(tài)顯示,第一位顯示波形指示(1為正弦波、2為方波、3為三角波),其他三位顯示頻率值。按鍵掃描和頻率顯示程序流程圖如圖4-3所示。圖4-3 按鍵掃描和頻率顯示程序流程圖4.4 波形產(chǎn)生流程圖本系統(tǒng)波形信號由單片機內(nèi)部程序運行后產(chǎn)生,產(chǎn)生的是數(shù)字信號離散點。通過對不同的波形采用不同的采樣公式可得到這些離散值,也可以由軟件工具計算得到。本設(shè)計三種波形每個波形采24個點 。圖4-4所示為波形產(chǎn)生流程圖

32、。圖4-4 波形程序流程圖4.5 延時子程序設(shè)計實現(xiàn)延時的方法比較簡單,用兩個for語句便可完成延時。主要在按鍵掃描以及數(shù)碼管顯示中使用。程序如下:void delay(uint z) uint i,j; for(i=z;i>0;i-) for(j=64;j>0;j-);5 系統(tǒng)仿真與調(diào)試5.1 PROTEL仿真軟件PROTEL是一款龐大的EDA軟件 17 ,它在眾多EDA軟件排行中名列前茅,由于它功能強大,很多電子設(shè)計者都喜歡用它來設(shè)計自己的電路和進行仿真測試。這款EDA軟件在國內(nèi)的使用率非常之高,原于國內(nèi)的電子工作者很早就開始接觸它。不夸張的講,現(xiàn)在沒有哪一家電子公司不在使用它

33、。隨著電子科學(xué)與技術(shù)的發(fā)展,電子公司越來越重視電子專業(yè)人才的挖掘和培養(yǎng),這就迫使電子專業(yè)的學(xué)生必須學(xué)會如何使用EDA軟件,因此很多大學(xué)的電子專業(yè)都特意開設(shè)了學(xué)習(xí)和使用PROTEL軟件的課程。早期的PROTEL結(jié)構(gòu)不夠完善,用途屈指可數(shù),繪制電路原理圖和設(shè)計印制板可以說是它的主要用途;然而隨著科學(xué)技術(shù)的發(fā)展,PROTEL也的到了飛速的發(fā)展,現(xiàn)如今的它已發(fā)展成一個龐大的EDA軟件,具備強大的功能,如繪制電路原理圖,可設(shè)計可編程器件和多層印制電路板,可對數(shù)字電路和模擬電路信號混合時進行仿真測試,可一鍵生成圖表,還可進行宏操作等等,是一個完整的板級全方位電子設(shè)計系統(tǒng),提供了一種完整的板級解決方案。此外

34、還具有客戶/服務(wù)器體系結(jié)構(gòu),它多層印制線路板的自動布線功能使得線路布通率非常之高。由于其出色的提供了一套完整的板級解決方案,功能強大,現(xiàn)在占據(jù)著主要的市場份額。本設(shè)計PCB布線圖的制作以及系統(tǒng)的仿真均在PROTEL軟件上完成。如圖5-1、圖5-2和圖5-3分別為仿真輸出的正弦波、方波和三角波。圖5-1仿真輸出正弦波 圖5-2 仿真輸出方波 圖5-3 仿真輸出三角波5.2 STC-ISP程序燒錄軟件在KEIL軟件上將目標(biāo)程序編譯生成HEX文件,需要下載到STC89C52單片機上,此時就要用到程序燒錄軟件來完成這項工作。本設(shè)計用的是STC-ISP 程序燒錄軟件,其使用方法簡便易學(xué)。STC-ISP

35、軟件是一款專門針對STC系列單片機而設(shè)計的單片機下載編程燒錄軟件,可下載多個STC系列的單片機,由于其使用方法簡便,已被廣泛使用在單片機程序下載操作中。如圖5-4所示,為程序下載結(jié)束的結(jié)果顯示。圖5-4 程序?qū)懭虢Y(jié)果顯示從仿真結(jié)果可以看到,該設(shè)計方案成功實現(xiàn)。將單片機的P0口作為數(shù)碼管段選輸出端,用P2口作為四位數(shù)碼管位選輸出端,靜態(tài)掃描LED數(shù)碼管顯示波形頻率值,并通過接在P3口的按鍵實現(xiàn)三種基本波形之間的切換和頻率的改變。5.3 系統(tǒng)軟硬件調(diào)試硬件電路焊接工作結(jié)束后便進入系統(tǒng)調(diào)試階段,系統(tǒng)調(diào)試分為硬件調(diào)試和軟件調(diào)試。首先是硬件調(diào)試。硬件調(diào)試比較費時,需要極大的耐心和足夠的細(xì)心才行。本人的具

36、體做法如下:對照原理電路圖對整個電路做靜態(tài)檢查,檢查元器件的參量是否正確無誤;檢查芯片、二極管方向和電解電容的極性是否接反;用萬用表檢查電路連線是否開路、短路等情況。靜態(tài)檢查無誤后進行通電檢查,通電前調(diào)試好所需的電源電壓數(shù)值,通電檢查時,模擬各種輸入信號分別送入電路的各有關(guān)部分,查看元器件是否有有無異?,F(xiàn)象;查看可操作設(shè)備的操作結(jié)果是否正確;查看整個系統(tǒng)的工作情況是否良好。其次是軟件調(diào)試。在硬件調(diào)試無誤后可進行軟件調(diào)試。進行軟件調(diào)試是為了查看系統(tǒng)各個模塊是否正確完成它們的任務(wù),體現(xiàn)它們的功能。如果沒有則應(yīng)對程序進行必要的修改,提高單片機的工作效率。本人的具體做法如下:單片機下載所編寫好的程序,

37、系統(tǒng)上電,觀察數(shù)碼管顯示的數(shù)字是否與仿真時的一樣;實際電路按鍵操作后是否與仿真時的按鍵操作結(jié)果相同;為了使系統(tǒng)軟件更為優(yōu)化,單片機工作效率更高,要不斷的對軟件做出調(diào)整。調(diào)試過程中發(fā)現(xiàn)按鍵去抖效果不是很好,按頻率加減鍵時數(shù)字跳變很快,經(jīng)過對延時程序的優(yōu)化,該問題成功得以解決。5.4 系統(tǒng)測試完成系統(tǒng)硬件和軟件調(diào)試工作后,進入系統(tǒng)測試階段。系統(tǒng)測試檢測輸出波形的具體情況,用實際測量結(jié)果論證設(shè)計方案正確與否,驗證操作過程科學(xué)與否。系統(tǒng)上電,將輸出端口接入示波器,四位數(shù)碼管首位顯示為1,此時示波器首先顯示為正弦波,數(shù)碼管后三位顯示其頻率;當(dāng)按一次波形切換按鍵時,數(shù)碼管首位顯示為2,示波器顯示出方波,數(shù)

38、碼管后三位顯示其頻率;再按一下波形切換按鍵,數(shù)碼管首位顯示為3,示波器顯示出三角波,數(shù)碼管后三位顯示其頻率。當(dāng)按下頻率切換按鍵(S2頻率加,S3頻率減),示波器上顯示頻率改變,數(shù)碼管顯示也改變。當(dāng)順時針旋轉(zhuǎn)可調(diào)電阻時,波形幅度增大;逆時針旋轉(zhuǎn)可調(diào)電阻時,波形幅度減小。圖5-5、圖5-6、圖5-7分別系統(tǒng)測試的結(jié)果。圖5-5 正弦波圖5-6 方波圖5-7 三角波6 結(jié)論本論文主要探討的是基于51單片機設(shè)計可編程的信號發(fā)生器,經(jīng)過查閱大量的資料,參考前人的設(shè)計成果以及加入自身的設(shè)計思想,提出了一套完整的硬件電路與軟件設(shè)計思路,經(jīng)過半年多的努力最終成功的設(shè)計出了符合要求的可編程信號發(fā)生器,用實際成果

39、驗證了理論的科學(xué)性。本文的主要研究工作與總結(jié)如下:1、進行硬件設(shè)計。經(jīng)過對可編程信號發(fā)生器總體設(shè)計方案的研究,設(shè)計出了一套基于STC89C52單片機的信號發(fā)生器系統(tǒng),并詳細(xì)的介紹了各部分硬件電路的功能及作用,包括單片機最小系統(tǒng)、數(shù)碼管顯示模塊、系統(tǒng)電源模塊、DAC0832模塊以及按鍵模塊。2、進行軟件設(shè)計。完成了單片機軟件的設(shè)計,將整個的軟件系統(tǒng)分為主函數(shù)、定時器中斷處理函數(shù)、初始化定時器、鍵盤掃描函數(shù)、頻率顯示函數(shù)等部分進行設(shè)計。單片機最小系統(tǒng)能正確無誤的完成數(shù)據(jù)的處理。3、進行系統(tǒng)調(diào)試與測試。在系統(tǒng)調(diào)試時,硬件和軟件的調(diào)試過程做了必要的說明。最后通過系統(tǒng)測試結(jié)果證實該信號發(fā)生器整體設(shè)計思路

40、的可行性。本論文達到了研制一個可編程信號發(fā)生器的預(yù)想結(jié)果,驗證了以STC89C52單片機為控制中心,系統(tǒng)配置以D/A轉(zhuǎn)換器、LED數(shù)碼管、鍵盤等器件,能產(chǎn)生基本波形,并用鍵盤控制輸出不同頻率和幅度可調(diào)的波形。雖然該信號發(fā)生器總體上達到了設(shè)計任務(wù)書上的要求,但是該設(shè)計還需要對以下幾方面做出改進:1、該信號發(fā)生器波形占空比不可調(diào);2、該信號發(fā)生器的按鍵電路可做進一步改善;3、程序還有待進一步優(yōu)化。參考文獻1 陳瓊一種單片機控制超低頻任意信號發(fā)生器的設(shè)計與實現(xiàn)J.南昌航空工業(yè)學(xué)院學(xué)報:自然科學(xué)版, 2004.2 張李勇,陳朗,張飛舟基于8051單片機的雙通道波形發(fā)生器的設(shè)計與實現(xiàn),計算機工程與應(yīng)用

41、J.2004.3 朱宏典型電子產(chǎn)品:函數(shù)信號發(fā)生器的設(shè)計與制作. 高等教育出版社,2012.4 王秀敏數(shù)字電子技術(shù).機械工業(yè)出版社,2009.5 錢逸秋單片機原理與應(yīng)用.北京:電子工業(yè)出版社,2002.6 朱定華單片微型計算機原理與應(yīng)用.北京:清華大學(xué)出版社,2003.7 康華光電子技術(shù)基礎(chǔ).高等教育出版社,2006.8 王艷梅淺談單片機系統(tǒng)的作用J.科技資訊,2012.9 李全利,仲偉峰,徐軍單片機原理及應(yīng)用M.北京:清華大學(xué)出版社, 2006.10 李群芳單片微型計算機與接口技術(shù).北京:電子工業(yè)出版社,2001.11 Gravey J F and Bubitch D. An Exact A

42、nalysis of Number Controlled Oscillator Based on Synthesizer. Proceedings of 44th Annual Frquency Control Symposium,1990.12 彭介華電子技術(shù)課程設(shè)計指導(dǎo)M.北京:高等教育出版社,1997.13 張世倉,柴鈺,胡新梅一種新型兩路正弦波信號發(fā)生器的研究J.西安科技學(xué)院學(xué)報,2002.14 Liu Xiaodong,Shi Yanyan and Li ShuboA MCUBased Arbitrary Waveform Generator for SLHThe Eighth In

43、ternational Conference on Electronic Measurement and Instruments, 2007.15 馬忠梅,籍順心,張凱單片機的C語言應(yīng)用程序設(shè)計.北京:北京航空航天大學(xué)出版社,2008.16 徐愛軍單片機高級語言C51 Windows環(huán)境編程與應(yīng)用M北京:電子工業(yè)出版,2004.17 丁明亮,唐前輝51單片機應(yīng)用設(shè)計與仿真-基于Keil C與Proteus. 北京航空航天大學(xué)出版社,2009.附錄A 元器件清單標(biāo)號名稱數(shù)量封裝標(biāo)配IC1STC89C521直插IC1IC座1直插40PINP1單排針,直針1間距2.54mm,180度2PINS1藍白

44、自鎖開關(guān)1直插8*8mmS2四腳輕觸開關(guān)1直插6*6mm*5C1電解電容1直插10UFC2瓷片電容1直插22PFC3瓷片電容1直插22PFR1電阻1直插1KR2電阻1直插10KCR149S無源晶振1直插12MHZRP1排阻1直插1KL1LED13mm直插紅發(fā)紅LED1四位時鐘共陰數(shù)碼管10.56英寸,12腳紅色無電路板17*9mm電木合計15S3按鍵開關(guān)1 6*6*5MM 直插四腳S4按鍵開關(guān)1 6*6*5MM 直插四腳S5按鍵開關(guān)1 6*6*5MM 直插四腳P2排針,直針12.54,180度3PINR3電阻1直插10KR4電阻1直插1KR5電阻1直插1KC4瓷片電容1直插472IC2 DAC

45、0832LCN1直插20PINIC2IC座 1直插 20PINIC3 LM3581直插8PINIC3IC座 1直插8PINRV1藍白可調(diào)電阻1直插1K合計13附錄B 電路原理圖附錄C PCB圖附錄D 程序#include<reg52.h>#define uint unsigned int#define uchar unsigned char/* 數(shù)碼管位選端定義P2.4到P2.7為數(shù)碼管選通端*/sbit Du1=P24;/千位的位選通sbit Du2=P25;/百位的位選通sbit Du3=P26;/十位的位選通sbit Du4=P27;/個位的位選通sbit s1=P35;/波形切換按鍵sbit s2=P

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論