數(shù)字電子技術(shù)實(shí)訓(xùn)論文(倒計(jì)時(shí))_第1頁
數(shù)字電子技術(shù)實(shí)訓(xùn)論文(倒計(jì)時(shí))_第2頁
數(shù)字電子技術(shù)實(shí)訓(xùn)論文(倒計(jì)時(shí))_第3頁
數(shù)字電子技術(shù)實(shí)訓(xùn)論文(倒計(jì)時(shí))_第4頁
數(shù)字電子技術(shù)實(shí)訓(xùn)論文(倒計(jì)時(shí))_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 數(shù)字電子技術(shù)(論文)說明書 題目類型: 題 目: 系 別: 機(jī)電工程系 專 業(yè): 機(jī)電一體化 學(xué)生姓名: 學(xué) 號(hào): 指導(dǎo)教師: 職 稱:高級(jí)工程師 軟件開發(fā)2021 年 7 月 9 日摘 要 本次實(shí)訓(xùn),要求做的是一個(gè)6位的“時(shí),“分,“秒的數(shù)字顯示的倒計(jì)時(shí)裝置。但是由于時(shí)間,以及一些其他的原因,原本要做成的6位數(shù)碼顯示的倒計(jì)時(shí)裝置,只做了2位,但是,在仿真系統(tǒng)中,我們也做成了3位的倒計(jì)時(shí)器,并附有圖在論文中。本系統(tǒng)主要由555多諧振蕩器、計(jì)數(shù)器、譯碼器、數(shù)碼管,采用74LS系列雙列直插式中小規(guī)模集成芯片組成,文中會(huì)介紹各種芯片及電路的原理。本次實(shí)訓(xùn)最后做成的電路板實(shí)現(xiàn)99秒2位倒計(jì)時(shí),還帶有

2、1個(gè)復(fù)位鍵,當(dāng)復(fù)位鍵按下時(shí),倒計(jì)時(shí)器回到99秒重新倒計(jì)時(shí)。 關(guān)鍵詞:芯片;74LS192;74LS48;555定時(shí)器;數(shù)碼管;譯碼;計(jì)數(shù); 1Abstract This practice is a requirement, the six "time", and "points", "second" digital display the countdown device. But because of the time, and some other reasons, which ma

3、de the countdown six digital display device, made only two, but, in the simulation system, we also make a counter-down and the attached figure in the thesis. This system mainly consists of 555 more harmonic oscillator, counter, decoder, digital tube, using 74LS series (double row inline) medium scal

4、e integration chip will introduce various component, the principle and the circuit chip. The practice of last two seconds realization circuit 99, still contain a countdown to reset when reset button press, counter-down back to 99 seconds to countdown.Key words:chip ;74LS192;74LS48 ;555 timer equipme

5、nt ;digital tube;decode;count; 2目 錄引言 4 1 電路原理設(shè)計(jì)51.1 設(shè)計(jì)思路小四號(hào)黑體51.2 設(shè)計(jì)原理圖 小四號(hào)黑體5 2 各個(gè)電路設(shè)計(jì)分析62.1 多諧振蕩電路62.2 設(shè)計(jì)原理圖 72.3 譯碼電路92.4數(shù)碼管結(jié)構(gòu)及原理 11 3 仿真電路12 4 電路總設(shè)計(jì)圖134.1 計(jì)時(shí)局部134.2 譯碼局部及數(shù)碼管顯示局部 144.3 短路總圖144.4 PCB電路板15 5 成品及調(diào)試155.1 成品155.2 調(diào)試 16 6 結(jié)論16 7 實(shí)訓(xùn)心得體會(huì)16 謝辭 17 參考文獻(xiàn) 18 附錄19 3引言隨著社會(huì)科學(xué)技術(shù)的迅速開展,人們對(duì)時(shí)間的把握要求越

6、來越高,也有很多地方用到了倒計(jì)時(shí)的電路。比方籃球比賽的30秒倒計(jì)時(shí)、交通燈中用到了倒計(jì)時(shí)、搶答器、用電磁爐定時(shí)燒水做菜做飯、紀(jì)念日的倒計(jì)時(shí)、上下班時(shí)間的倒計(jì)時(shí)、還有一些報(bào)警的電路等等,都是都用到了倒計(jì)時(shí)電路。本次實(shí)訓(xùn)借助于數(shù)字邏輯電路,采用模塊化的設(shè)計(jì)思想,使設(shè)計(jì)變得簡(jiǎn)單、方便、靈活性強(qiáng)。電路簡(jiǎn)單容易實(shí)現(xiàn),工作穩(wěn)定,因此得到廣泛的應(yīng)用。數(shù)字電子技術(shù)時(shí)當(dāng)前開展最快的科學(xué)之一,數(shù)字邏輯器件已經(jīng)從60年代的小規(guī)模集成電路SSI開展到目前的中、大規(guī)模集成電路MSI、LSI及超大規(guī)模集成VLSI。相應(yīng)的,數(shù)字邏輯電路的設(shè)計(jì)方法在不斷地演變和開展,由原來的單一的硬件邏輯設(shè)計(jì)開展成三個(gè)分支,即硬件邏輯設(shè)計(jì)中

7、、小規(guī)模集成器件、軟件邏輯設(shè)計(jì)軟件組裝的LSI和VSI,如未處理器、單片機(jī)等及兼有二者的有點(diǎn)的專用集成電路ASIC設(shè)計(jì)。倒計(jì)時(shí)器采用了,74LS48,74LS192,NE555集成電路,以及與一些其他的元器件組合設(shè)計(jì)而成,可以進(jìn)行99秒倒計(jì)時(shí),如果需要更多的倒計(jì)時(shí),用次電路來進(jìn)行改造也相當(dāng)?shù)姆奖愫?jiǎn)單,本電路原理,簡(jiǎn)單易懂。復(fù)位鍵可以再任意時(shí)刻把倒計(jì)時(shí)清零重新開始倒計(jì)時(shí),使用起來也是很方便的。也可以和其他的電路組合在一起構(gòu)成交通燈,搶答器,等電路,使用很方便,應(yīng)用很廣泛。 41電路原理設(shè)計(jì)要做成一個(gè)倒計(jì)時(shí)器,首先要理解怎么樣才是一個(gè)倒計(jì)時(shí)器。倒計(jì)時(shí)器是有“時(shí)、“分、“秒組成,能實(shí)現(xiàn)時(shí)分秒的6位倒

8、計(jì)時(shí)器。本系統(tǒng)采用定時(shí)器、計(jì)數(shù)器、譯碼器、顯示器、校時(shí)電路組成。由LED七段數(shù)碼管來顯示譯碼器所輸出的信號(hào)。采用了74LS系列中小規(guī)模集成芯片。總體的設(shè)計(jì)方案如下。 1.1設(shè)計(jì)思路:倒計(jì)時(shí)器,要實(shí)現(xiàn)倒計(jì)時(shí),首先要給設(shè)定一個(gè)1秒鐘的定時(shí)器,在這個(gè)電路上本組選用了555定期器來實(shí)現(xiàn)這個(gè),經(jīng)過555定時(shí)器,做成一個(gè)多諧振蕩電路做成1秒1次的脈沖以后,從輸出端輸出一秒一次的脈沖;其次,需要一個(gè)計(jì)數(shù)的芯片,在這方面,本組選用了74LS192,因?yàn)檫@個(gè)芯片,在上課的時(shí)候?qū)W過,并且原理比擬熟悉,然后把1秒1次的脈沖接給計(jì)數(shù)器,實(shí)現(xiàn)倒著計(jì)數(shù)的功能,在置數(shù)端置成需要的數(shù);然后,能實(shí)現(xiàn)倒著計(jì)數(shù)功能以后,就要了譯碼

9、階段,從計(jì)數(shù)器的輸出端接入譯碼器的輸入端,對(duì)應(yīng)介入,譯碼器,我們選擇74LS48,4線-7段譯碼器來進(jìn)行譯碼;最后通過譯碼器的7個(gè)輸出端,對(duì)應(yīng)的接到8位共陰二極管上,使輸出有數(shù)字顯示。這樣做可以實(shí)現(xiàn)1位數(shù)碼管顯示的倒計(jì)時(shí)器,要實(shí)現(xiàn)多位的倒計(jì)時(shí)器,例如本次實(shí)訓(xùn)我們要求做的是6位倒計(jì)時(shí)器,但是由于一些原因,只做了2位的倒計(jì)時(shí)器,但是原理是一樣的,要實(shí)現(xiàn)2位計(jì)數(shù)器的功能,那么在計(jì)數(shù)這一步驟的時(shí)候,把芯片74LS192的借位輸出端接到另一個(gè)計(jì)數(shù)芯片74LS192的脈沖遞減計(jì)數(shù)脈沖端口上,然后在后面的環(huán)節(jié)還是一樣的。1.2設(shè)計(jì)原理圖 52.各個(gè)電路設(shè)計(jì)分析2.1 多諧振蕩電路多諧振蕩器是一種能產(chǎn)生矩形波

10、的自激振蕩器,也稱矩形波發(fā)生器?!岸嘀C指矩形波中除了基波成分外,還含有豐富的高次諧波成分。多諧振蕩器沒有穩(wěn)態(tài),只有兩個(gè)暫穩(wěn)態(tài)。在工作時(shí),電路的狀態(tài)在這兩個(gè)暫穩(wěn)態(tài)之間自動(dòng)地交替變換,由此產(chǎn)生矩形波脈沖信號(hào),常用作脈沖信號(hào)源及時(shí)序電路中的時(shí)鐘信號(hào)。一、用555定時(shí)器構(gòu)成的多諧振蕩器1電路組成: 用555定時(shí)器構(gòu)成的多諧振蕩器電路如圖6-11a所示:圖中電容C、電阻R1和R2作為振蕩器的定時(shí)元件,決定著輸出矩形波正、負(fù)脈沖的寬度。定時(shí)器的觸發(fā)輸入端2腳和閥值輸入端6腳與電容相連;集電極開路輸出端7腳接R1、R2相連處,用以控制電容C的充、放電;外界控制輸入端5腳通過0.01uF電容接地。2工作原理:

11、 多諧振蕩器的工作波形如圖6-11(b)所示:電路接通電源的瞬間,由于電容C來不及充電,Vc=0v,所以555定時(shí)器狀態(tài)為1,輸出Vo為高電平。同時(shí),集電極輸出端7腳對(duì)地?cái)嚅_,電源Vcc對(duì)電容C充電,電路進(jìn)入暫穩(wěn)態(tài)I,此后,電路周而復(fù)始地產(chǎn)生周期性的輸出脈沖。多諧振蕩器兩個(gè)暫穩(wěn)態(tài)的維持時(shí)間取決于RC充、放電回路的參數(shù)。暫穩(wěn)態(tài)的維持時(shí)間,即輸出Vo的正向脈沖寬度T10.7(R1+R2)C;暫穩(wěn)態(tài)的維持時(shí)間,即輸出Vo的負(fù)向脈沖寬度T20.7R2C。6因此,振蕩周期T=T1+T2=0.7(R1+2R2)C 公式1振蕩頻率f=1/T。正向脈沖寬度T1與振蕩周期T之比稱矩形波的占空比,由上述條件可得D

12、=R1+R2/R1+2R2,假設(shè)使R2>>R1,那么D1/2,即輸出信號(hào)的正負(fù)向脈沖寬度相等的矩形波方波。經(jīng)過公式1設(shè)計(jì)計(jì)算以及與實(shí)際有的電阻的阻值的比擬分析,得出: R1=15K,R2=68K,C1=10uf,C2=0.1uf并且如下列圖A 圖A2.2 計(jì)數(shù)電路 一、芯片名稱:可預(yù)置同步可逆BCD碼計(jì)數(shù)器二、74LS192的引腳圖和引腳說明: 7 個(gè)別引腳說明:Cdn-減計(jì)數(shù)脈沖輸入,當(dāng)作減計(jì)數(shù)操作時(shí)Cup接高電平。 Cup-增計(jì)數(shù)脈沖輸入,當(dāng)作加計(jì)數(shù)操作時(shí)Cdn接高電平。 BO-借位輸出信號(hào),在減計(jì)數(shù)時(shí)出現(xiàn),低電平有效。CO-進(jìn)位輸出信號(hào),加計(jì)數(shù)時(shí)出現(xiàn),低點(diǎn)平有

13、效。LD-加載,即對(duì)計(jì)數(shù)器作置數(shù)操作時(shí)的控制信號(hào)。當(dāng)LD位某個(gè)電平時(shí),計(jì)數(shù)器可以預(yù)置初始值,除清零控制外,其他操作被禁止。 三、74LS192的邏輯圖: 四、74LS192的邏輯符號(hào): 接線時(shí), Cup或Cdn接單脈沖或1Hz時(shí)鐘脈沖信號(hào)。輸出端QDQCQBQA接數(shù)碼管,CO和BO接發(fā)光二極管。其余的控制信號(hào)和輸入信號(hào)接邏輯開關(guān),LD對(duì)低電平有效。五、邏輯功能表: 8 2.3 譯碼電路74LS48中文資料(引腳圖,真值表及內(nèi)部結(jié)構(gòu)原理圖) 74LS48/SN74LS48 引腳功能圖 工作電壓:5V74LS48除了有實(shí)現(xiàn)7段顯示譯碼器根本功能的輸入DCBA和輸出YaYg端外,7448還引入了燈測(cè)

14、試輸入端LT和動(dòng)態(tài)滅零輸入端RBI,以及既有輸入功能又有輸出功能的消隱輸入/動(dòng)態(tài)滅零輸出BI/RBO端。由7448真值表可獲知7448所具有的邏輯功能: 17段譯碼功能LT=1,RBI=1在燈測(cè)試輸入端LT和動(dòng)態(tài)滅零輸入端RBI都接無效電平時(shí),輸入DCBA 9經(jīng)7448譯碼,輸出高電平有效的7段字符顯示器的驅(qū)動(dòng)信號(hào),顯示相應(yīng)字符。除DCBA = 0000外,RBI也可以接低電平,見表1中116行。2消隱功能BI=0此時(shí)BI/RBO端作為輸入端,該端輸入低電平信號(hào)時(shí),表1倒數(shù)第3行,無論LT 和RBI輸入什么電平信號(hào),不管輸入DCBA為什么狀態(tài),輸出全為“0,7段顯示器熄滅。該功能主要用于多顯示

15、器的動(dòng)態(tài)顯示。3燈測(cè)試功能LT = 0此時(shí)BI/RBO端作為輸出端, 端輸入低電平信號(hào)時(shí),表1最后一行,與 及DCBA輸入無關(guān),輸出全為“1,顯示器7個(gè)字段都點(diǎn)亮。該功能用于7段顯示器測(cè)試,判別是否有損壞的字段。4動(dòng)態(tài)滅零功能LT=1,RBI=1此時(shí)BI/RBO端也作為輸出端,LT 端輸入高電平信號(hào),RBI 端輸入低電平信號(hào),假設(shè)此時(shí)DCBA = 0000,表1倒數(shù)第2行,輸出全為“0,顯示器熄滅,不顯示這個(gè)零。DCBA0,那么對(duì)顯示無影響。該功能主要用于多個(gè)7段顯示器同時(shí)顯示時(shí)熄滅高位的零。 圖2 7段顯示譯碼器7448a邏輯圖b方框圖c符號(hào)圖 圖2給出了7448的邏輯圖,方框圖和符號(hào)圖。由

16、符號(hào)圖可以知道,4號(hào)管腳端具有輸入和輸出雙重功能。作為輸入BI低電平時(shí),G21為0,所有字段 10輸出置0,即實(shí)現(xiàn)消隱功能。作為輸出RBO,相當(dāng)于LT,及CT0的與墳系,即LT=1,RBI=0,DCBA=0000時(shí)輸出低電平,可實(shí)現(xiàn)動(dòng)態(tài)滅零功能。3號(hào)LT端有效低電平時(shí),V20=1,所有字段置1,實(shí)現(xiàn)燈測(cè)試功能。 2.4 數(shù)碼管結(jié)構(gòu)及原理LED數(shù)碼管的結(jié)構(gòu)及工作原理LED數(shù)碼管LED Segment Displays是由多個(gè)發(fā)光二極管封裝在一起組成“8字型的器件,引線已在內(nèi)部連接完成,只需引出它們的各個(gè)筆劃,公共電極。LED數(shù)碼管常用段數(shù)一般為7段有的另加一個(gè)小數(shù)點(diǎn),還有一種是類似于3位“+1型

17、。位數(shù)有半位,1,2,3,4,5,6,8,10位等等.,LED數(shù)碼管根據(jù)LED的接法不同分為共陰和共陽兩類,了解LED的這些特性,對(duì)編程是很重要的,因?yàn)椴煌愋偷臄?shù)碼管,除了它們的硬件電路有差異外,編程方法也是不同的。圖2是共陰和共陽極數(shù)碼管的內(nèi)部電路,它們的發(fā)光原理是一樣的,只是它們的電源極性不同而已。顏色有紅,綠,藍(lán),黃等幾種。LED數(shù)碼管廣泛用于儀表,時(shí)鐘,車站,家電等場(chǎng)合。選用時(shí)要注意產(chǎn)品尺寸顏色,功耗,亮度,波長(zhǎng)等。下面將介紹常用LED數(shù)碼管內(nèi)部引腳圖片 圖1 這是一個(gè)7段兩位帶小數(shù)點(diǎn) 10引腳的LED數(shù)碼管 圖2 引腳定義每一筆劃都是對(duì)應(yīng)一個(gè)字母表示 DP是小數(shù)點(diǎn).LED數(shù)碼管要正

18、常顯示,就要用驅(qū)動(dòng)電路來驅(qū)動(dòng)數(shù)碼管的各個(gè)段碼,從而顯示出我們要的數(shù)位,因此根據(jù)LED數(shù)碼管的驅(qū)動(dòng)方式的不同,可以分為靜態(tài)式和動(dòng)態(tài)式兩類。A、靜態(tài)顯示驅(qū)動(dòng):靜態(tài)驅(qū)動(dòng)也稱直流驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)是指每個(gè)數(shù)碼管的每一個(gè)段碼都由一個(gè)單片機(jī) 11的I/O埠進(jìn)行驅(qū)動(dòng),或者使用如BCD碼二-十進(jìn)位*器*進(jìn)行驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)的優(yōu)點(diǎn)是編程簡(jiǎn)單,顯示亮度高,缺點(diǎn)是占用I/O埠多,如驅(qū)動(dòng)5個(gè)數(shù)碼管靜態(tài)顯示那么需要5×840根I/O埠來驅(qū)動(dòng),要知道一個(gè)89S51單片機(jī)可用的I/O埠才32個(gè)呢。故實(shí)際應(yīng)用時(shí)必須增加*驅(qū)動(dòng)器進(jìn)行驅(qū)動(dòng),增加了硬體電路的復(fù)雜性。B、動(dòng)態(tài)顯示驅(qū)動(dòng):數(shù)碼管動(dòng)態(tài)顯示介面是單片機(jī)中應(yīng)用最為廣泛的一

19、種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃"a,b,c,d,e,f,g,dp "的同名端連在一起,另外為每個(gè)數(shù)碼管的公共極COM增加位元選通控制電路,位元選通由各自獨(dú)立的I/O線控制,當(dāng)單片機(jī)輸出字形碼時(shí),所有數(shù)碼管都接收到相同的字形碼,但究竟是那個(gè)數(shù)碼管會(huì)顯示出字形,取決于單片機(jī)對(duì)位元選通COM端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通控制翻開,該位元就顯示出字形,沒有選通的數(shù)碼管就不會(huì)亮。透過分時(shí)輪流控制各個(gè)LED數(shù)碼管的COM端,就使各個(gè)數(shù)碼管輪流受控顯示,這就是動(dòng)態(tài)驅(qū)動(dòng)。在輪流顯示過程中,每位元數(shù)碼管的點(diǎn)亮?xí)r間為12ms,由于人的視

20、覺暫留現(xiàn)象及發(fā)光二極體的余輝效應(yīng),盡管實(shí)際上各位數(shù)碼管并非同時(shí)點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示資料,不會(huì)有閃爍感,動(dòng)態(tài)顯示的效果和靜態(tài)顯示是一樣的,能夠節(jié)省大量的I/O埠,而且功耗更低。 3 電路仿真 三位倒計(jì)時(shí)仿真電路設(shè)計(jì)12 二位倒計(jì)時(shí)仿真電路設(shè)計(jì) 4總電路設(shè)計(jì)圖 4.1計(jì)時(shí)局部 2個(gè)74LS192計(jì)數(shù)器的置數(shù)端,置為9,功能始終為計(jì)數(shù)功能,個(gè)位的借位輸出端,接到十位的CP脈沖端。從而實(shí)現(xiàn)2位倒計(jì)時(shí)功能。 134.2譯碼局部及數(shù)碼管顯示局部 4.3總電路圖 圖為本次實(shí)訓(xùn)的設(shè)計(jì)電路圖,是一個(gè)2位的99秒倒計(jì)時(shí)器,并且?guī)в袕?fù)位鍵S1,當(dāng)S1按下的時(shí)候,計(jì)數(shù)器置成9,重

21、新開始倒計(jì)時(shí)。 144.4 PCB電路板圖 5 成品及調(diào)試5.1 成品 155.2 調(diào)試把6V的電源接到電路板上,翻開開關(guān),倒計(jì)時(shí)器開始工作,從99秒開始倒計(jì)時(shí),一直到0秒以后,返回99秒重新開始倒計(jì)時(shí)。當(dāng)按下復(fù)位鍵的時(shí)候,無論倒計(jì)時(shí)到多少秒,由于置數(shù)的原因,立刻回到99秒,把按鍵放開以后,又開始倒計(jì)時(shí)。調(diào)試完成,到達(dá)設(shè)計(jì)目的。 6 結(jié)論本次設(shè)計(jì)的是可復(fù)位的99秒倒計(jì)時(shí)電路。當(dāng)電路接通電源的時(shí)候,2個(gè)數(shù)碼管立刻顯示為“9 9,并且開始倒計(jì)時(shí),當(dāng)?shù)褂?jì)時(shí)到“0的時(shí)候,自動(dòng)回到“9 9重新開始倒計(jì)時(shí)。當(dāng)按下復(fù)位開關(guān)S1時(shí),計(jì)數(shù)器也回到“9 9,放開復(fù)位開關(guān)后,重新開始倒計(jì)時(shí)。其實(shí)在設(shè)計(jì)過程中,主要需

22、要理解幾點(diǎn)。第一,555定時(shí)電路怎么樣能定時(shí)成1秒鐘;第二,計(jì)數(shù)器是怎么樣接法,才是計(jì)數(shù)功能,并且要進(jìn)行倒計(jì)時(shí);第三,譯碼器數(shù)碼管時(shí),必須要先測(cè)量好數(shù)碼管的封裝,這樣才能保證不接錯(cuò)。 最后本次實(shí)訓(xùn),倒計(jì)時(shí)電路設(shè)計(jì)很成功,并且能夠?qū)崿F(xiàn)倒計(jì)時(shí)和復(fù)位功能。7 實(shí)訓(xùn)心得體會(huì)本次試訓(xùn),可謂是非常的辛苦,從一開始就困難重重。剛剛開始的時(shí)候,本組剛剛開始選的是搶答器,并且我擔(dān)任設(shè)計(jì)這方面責(zé)任。在剛剛開始設(shè)計(jì)的時(shí)候,我們?cè)诰W(wǎng)上和數(shù)上,都查了很多資料,并且對(duì)資料進(jìn)行,分析理解。我們決定把2搶答器的分為2個(gè)局部,1局部為搶答局部,另外一局部為計(jì)時(shí)局部。剛剛開始很順利的把2個(gè)電路設(shè)計(jì)出來了,可是第一個(gè)問題出來了,怎

23、么樣把2個(gè)電路連接起來,經(jīng)過問同學(xué)和老師,終于把2個(gè)電路連接起來了,可是第二個(gè)問題又出來了,在畫出原理圖導(dǎo)入PCB圖的時(shí)候,線路復(fù)雜了,導(dǎo)致跳線很多,而且很亂,后來不得不換了個(gè)題目,改成倒計(jì)時(shí)。有了先前的設(shè)計(jì)的經(jīng)驗(yàn),和一些原理差不多,倒計(jì)時(shí)電路很快就出來,在仿真中也很順利的完成了。但是后面問題就出現(xiàn)了,做成第一塊板的時(shí)候,發(fā)現(xiàn)不能顯示,后來經(jīng)過檢查,發(fā)現(xiàn)時(shí)數(shù)碼管的封裝錯(cuò)誤,以及一些原理圖網(wǎng)絡(luò)表連接出錯(cuò)導(dǎo)致,然后馬上改正錯(cuò)誤進(jìn)行重新做板畫圖,經(jīng)過本次實(shí)訓(xùn),畫圖的技術(shù)真的是有所以高,因?yàn)楫婸CB電路圖不下20次。最后終于順利作出板,并且調(diào)試成功了??偟膩碚f這次實(shí)訓(xùn)還是學(xué)到很多的,無論是在設(shè)計(jì)方面還

24、是動(dòng)手操作方面,都學(xué)到了很多書本上原來沒有理解透的東西,受益頗多。不過以后還是要再接再厲。 16謝 辭本設(shè)計(jì)是在賓恩均老師、廖曉梅老師的悉心指導(dǎo)下完成的。導(dǎo)師淵博的專業(yè)知識(shí),嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度,精益求精的工作作風(fēng),誨人不倦的高尚師德,嚴(yán)以律己、寬以待人的崇高風(fēng)范,樸實(shí)無華、平易近人的人格魅力對(duì)我影響深遠(yuǎn)。不僅使我樹立了遠(yuǎn)大的學(xué)術(shù)目標(biāo)、掌握了根本的研究方法,還使我明白了許多為人處世的道理。本設(shè)計(jì)從選題到完成,每一步都是在導(dǎo)師的指導(dǎo)下完成的,傾注了導(dǎo)師大量的心血。在此,謹(jǐn)向老師們表示崇高的敬意和衷心的感謝!本設(shè)計(jì)之所以能夠順利完成,除了要特別感謝賓老師和廖老師的悉心指導(dǎo)之外,也離不開各位同學(xué)的幫助,是

25、你們?cè)谖乙淮舜蚊曰蟮臅r(shí)候幫助了我。在此,也要感謝輔導(dǎo)員及各位同學(xué)這段時(shí)間以來對(duì)我的照顧,這將是我一生中最珍貴的回憶。至此,也要特別感謝我的家人長(zhǎng)期以來對(duì)我的大力支持與鼓勵(lì),你們永遠(yuǎn)是我堅(jiān)強(qiáng)的后盾、前進(jìn)的動(dòng)力及力量的源泉。 17參考文獻(xiàn)1 江國(guó)強(qiáng).新編數(shù)字邏輯電路習(xí)題實(shí)驗(yàn)與實(shí)訓(xùn).北京郵電大學(xué)出版社,2021-092 主編:閻石.數(shù)字電子技術(shù)根本教程.清華大學(xué)出版社,2021-12 18附 錄Protel99SE簡(jiǎn)介Protel99SE是應(yīng)用于Windows9X/2000/NT操作系統(tǒng)下的EDA設(shè)計(jì)軟件,采用設(shè)計(jì)庫(kù)管理模式,可以進(jìn)行聯(lián)網(wǎng)設(shè)計(jì),具有很強(qiáng)的數(shù)據(jù)交換能力和開放性及3D模擬功能,是一個(gè)32

26、位的設(shè)計(jì)軟件,可以完成電路原理圖設(shè)計(jì),印制電路板設(shè)計(jì)和可編程邏輯器件設(shè)計(jì)等工作,可以設(shè)計(jì)32個(gè)信號(hào)層,16個(gè)電源-地層和16個(gè)機(jī)加工層。一、Protel 99 SE的系統(tǒng)組成按照系統(tǒng)功能來劃分,Protel99se主要包含以下倆大局部和6個(gè)功能模塊。1、電路工程設(shè)計(jì)局部(1)電路原理設(shè)計(jì)局部Advanced Schematic 99:電路原理圖設(shè)計(jì)局部包括電路圖編輯器簡(jiǎn)稱SCH編輯器、電路圖零件庫(kù)編輯器簡(jiǎn)稱Schlib編輯器和各種文本編輯器。本系統(tǒng)的主要功能是:繪制、修改和編輯電路原理圖;更新和修改電路圖零件庫(kù);查看和編輯有關(guān)電路圖和零件庫(kù)的各種報(bào)表。2印刷電路板設(shè)計(jì)系統(tǒng)Advanced PC

27、B 99:印刷電路板設(shè)計(jì)系統(tǒng)包括印刷電路板編輯器簡(jiǎn)稱PCB編輯器、零件封裝編輯器簡(jiǎn)稱PCBLib編輯器和電路板組件管理器。本系統(tǒng)的主要功能是:繪制、修改和編輯電路板;更新和修改零件封裝;管理電路板組件。3自動(dòng)布線系統(tǒng)Advanced Route 99:本系統(tǒng)包含一個(gè)基于形狀Shape-based的無柵格自動(dòng)布線器,用于印刷電路板的自動(dòng)布線,以實(shí)現(xiàn)PCB設(shè)計(jì)的自動(dòng)化。2、電路仿真與PLD局部1電路模擬仿真系統(tǒng)Advanced SIM 99:電路模擬仿真系統(tǒng)包含一個(gè)數(shù)字/模擬信號(hào)仿真器,可提供連續(xù)的數(shù)字信號(hào)和模擬信號(hào),以便對(duì)電路原理圖進(jìn)行信號(hào)模擬仿真,從而驗(yàn)證其正確性和可行性。2可編程邏輯設(shè)計(jì)系統(tǒng)Advanced PLD 99:可編程邏輯設(shè)計(jì)系統(tǒng)包含一個(gè)有語法功能的文本編輯器和一個(gè)波形編輯器Waveform。本系統(tǒng)的主要功能是;對(duì)邏輯電路進(jìn)行分析、綜合;觀察信號(hào)的波形。利用PLD系統(tǒng)可以最大限度的精簡(jiǎn)邏輯部件,使數(shù)字電路設(shè)計(jì)到達(dá)最簡(jiǎn)化。3高級(jí)信號(hào)完整性分析系統(tǒng)Advanced Integrity 99:信號(hào)完整性分析系統(tǒng)提供了一個(gè)精確的信號(hào)完整性模擬器,可用來分析PCB設(shè)計(jì)、檢查電路設(shè)計(jì)參數(shù)、實(shí)驗(yàn)超調(diào)量、阻抗和信號(hào)諧波要求等。二、Protel 99 SE的功能特性1、開放式集成化的設(shè)計(jì)管理體系2、超強(qiáng)功能的、修改與編輯功能3、強(qiáng)大的設(shè)計(jì)自動(dòng)化功能

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論