FTR濾波器_濾波器原理_有限脈沖響應(yīng)濾波器_第1頁
FTR濾波器_濾波器原理_有限脈沖響應(yīng)濾波器_第2頁
FTR濾波器_濾波器原理_有限脈沖響應(yīng)濾波器_第3頁
FTR濾波器_濾波器原理_有限脈沖響應(yīng)濾波器_第4頁
FTR濾波器_濾波器原理_有限脈沖響應(yīng)濾波器_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1功能描述FIR濾波器,即有限脈沖響應(yīng)濾波器,顧名思義,是指單位脈沖響應(yīng)的長(zhǎng)度 是有限的濾波器。而根據(jù)FIR濾波器的結(jié)構(gòu)形式,分為直接型、級(jí)聯(lián)型、頻率取 樣型和快速卷積型。其中直接型又可以采用串行結(jié)構(gòu)、并行結(jié)構(gòu)、分布式結(jié)構(gòu)。 本案例實(shí)現(xiàn)了具有線性相位的半串行結(jié)構(gòu)的FIR濾波器。所謂串行結(jié)構(gòu),即串行實(shí)現(xiàn)濾波器的累加運(yùn)算,將每級(jí)延時(shí)單元與相應(yīng)系數(shù) 的乘積結(jié)果進(jìn)行累加后輸出,因此整個(gè)濾波器實(shí)際上只需要一個(gè)乘法器運(yùn)算單元。 串行結(jié)構(gòu)還可以分為全串行和半串行結(jié)構(gòu),全串行結(jié)構(gòu)是指進(jìn)行對(duì)稱系數(shù)的加法運(yùn)算也由一個(gè)加法器串行實(shí)現(xiàn),半串行結(jié)構(gòu)則指用多個(gè)加法器同時(shí)實(shí)現(xiàn)對(duì)稱系數(shù) 的加法運(yùn)算。本案例設(shè)計(jì)了一個(gè)15階的低

2、通線性相位FIR濾波器,采用布萊克曼窗函數(shù) 設(shè)計(jì),截止頻率為500HZ,采樣頻率為2000HZ;實(shí)現(xiàn)全串行結(jié)構(gòu)的濾波器,系 數(shù)的量化位數(shù)為12比特,輸入數(shù)據(jù)位寬為12比特,輸出數(shù)據(jù)位寬為29比特, 系統(tǒng)時(shí)鐘為16kHZ。采用具有白噪聲特性的輸入信號(hào),以及由 200HZ及800HZ 單點(diǎn)頻信號(hào)疊加的輸入信號(hào)。濾波器系數(shù):12d0,-12d3,12d15,12d46,-12d117,-12d263,12d590,12d2047平臺(tái)效果圖1. modelsim仿真效果圖DrttjnLfr diKKCK:JK1 .二StEdi拙0idh1 *也u, | 叵2.MATLAB效果圖Figure 1亦件Q黑

3、雷 重看國(guó)插人Q工昱CD O(D) CJWkJ &%、的毀金皂-soSSIFPGAffi嵐白噪目信號(hào)濾波前后的頻譜 0帕入佶號(hào)頫鍛 輸出倍號(hào)義浩100200300400500 eoo 700 BOO900 1EXXJ0頻率倫)FPGA仿K合咸單-頻信號(hào)漬波怖后的頻譜1002003004005006007008009001000頻率(He)三、實(shí)現(xiàn)過程首先根據(jù)所需要的功能,列出工程頂層的輸入輸出信號(hào)列表。信號(hào)名I/O位寬說明elkI1系統(tǒng)工作時(shí)鐘50Mrst_nI1系統(tǒng)復(fù)位信號(hào),低電平有效dinI12接收信號(hào)din_vldI8接收數(shù)據(jù)有效指示信號(hào)rdyO8準(zhǔn)備信號(hào)doutO29濾波器輸出信號(hào)do

4、ut vldO1輸出數(shù)據(jù)有效指示信號(hào)我們可以把工程劃分成三個(gè)模塊,分別是FIR濾波器模塊和加法器模塊和乘法器模塊1.FIR濾波器模塊具有線性相位的半串行FIR濾波器結(jié)構(gòu)圖:救據(jù)輸入在時(shí)鐘允許信號(hào)的控制下,將數(shù)據(jù)以1/8系統(tǒng)時(shí)鐘頻率存入16個(gè)移位寄存器 中,然后將對(duì)稱系數(shù)的輸入數(shù)據(jù)相加,比如X(O)*X(N) ,X(1)*X(N-1) ,X(2)*X(N-2), 同時(shí)將對(duì)應(yīng)的濾波器系數(shù)送入乘法器中得到結(jié)果mult_s,再對(duì)此乘法結(jié)果進(jìn)行累加sum = sum + mult_s,并輸出濾波后的數(shù)據(jù)。以此本模塊實(shí)現(xiàn)了具有線性相位的半串行FIR濾波器功能。本模塊信號(hào)列表如下:信號(hào)名I/O位寬說明clk

5、I1系統(tǒng)工作時(shí)鐘50Mrst_nI1系統(tǒng)復(fù)位信號(hào),低電平有效dinI12接收信號(hào)din_vldI8接收數(shù)據(jù)有效指示信號(hào)rdyO8準(zhǔn)備信號(hào)doutO29濾波器輸出信號(hào)dout vldO1輸出數(shù)據(jù)有效指示信號(hào)2. 加法器模塊調(diào)用了 Quartus II里的加法器IP核,以實(shí)現(xiàn)FIR濾波器中的加法器模塊信號(hào)列表如下:信號(hào)名I/O位寬說明dataaI13數(shù)據(jù)adatabI13數(shù)據(jù)bresultO13和3. 乘法器模塊調(diào)用了 Quartus II里的乘法器IP核,以實(shí)現(xiàn)FIR濾波器中的乘法器模塊信號(hào)列表如下:信號(hào)名I/O位寬說明dataaI11數(shù)據(jù)adatabI12數(shù)據(jù)bclockI1工作時(shí)鐘resultO24乘積四、MATLAB部分說明使用MATLAB設(shè)計(jì)出濾波器系數(shù),仿真出濾波器測(cè)試數(shù)據(jù)、測(cè)試數(shù)據(jù)經(jīng)濾波器濾波后的輸出數(shù)據(jù)并轉(zhuǎn)換成二進(jìn)制數(shù)據(jù)寫入文本文件中

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論