第16講半導(dǎo)體存儲(chǔ)器和可編程邏輯器件_第1頁
第16講半導(dǎo)體存儲(chǔ)器和可編程邏輯器件_第2頁
第16講半導(dǎo)體存儲(chǔ)器和可編程邏輯器件_第3頁
第16講半導(dǎo)體存儲(chǔ)器和可編程邏輯器件_第4頁
第16講半導(dǎo)體存儲(chǔ)器和可編程邏輯器件_第5頁
已閱讀5頁,還剩92頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第第1616講講 半導(dǎo)體存儲(chǔ)器和半導(dǎo)體存儲(chǔ)器和可編程邏輯器件可編程邏輯器件16.1 概述概述16.2 半導(dǎo)體存儲(chǔ)器半導(dǎo)體存儲(chǔ)器16.3 可編程邏輯器件可編程邏輯器件PLD 16.4 CPLD、FPGA和在系統(tǒng)編程技術(shù)和在系統(tǒng)編程技術(shù)簡介簡介16.1 概述概述16.1.1 集成電路分類集成電路分類 包括門、觸發(fā)器、計(jì)數(shù)器、譯碼器、數(shù)據(jù)選擇器。包括門、觸發(fā)器、計(jì)數(shù)器、譯碼器、數(shù)據(jù)選擇器。(一)標(biāo)準(zhǔn)中小規(guī)模集成電路(一)標(biāo)準(zhǔn)中小規(guī)模集成電路標(biāo)準(zhǔn)產(chǎn)品的特點(diǎn)是:批量大,成本低,價(jià)格便宜。是數(shù)字標(biāo)準(zhǔn)產(chǎn)品的特點(diǎn)是:批量大,成本低,價(jià)格便宜。是數(shù)字系統(tǒng)傳統(tǒng)設(shè)計(jì)中使用的主要邏輯器件。系統(tǒng)傳統(tǒng)設(shè)計(jì)中使用的主要邏輯

2、器件。缺點(diǎn)是:器件密度低,所構(gòu)成的數(shù)字系統(tǒng)規(guī)模大,印刷線缺點(diǎn)是:器件密度低,所構(gòu)成的數(shù)字系統(tǒng)規(guī)模大,印刷線路板走線復(fù)雜,焊點(diǎn)多,使電路的可靠性差,功耗大。路板走線復(fù)雜,焊點(diǎn)多,使電路的可靠性差,功耗大。(二)微處理器(二)微處理器缺點(diǎn):工作速度不夠高,另外,這類芯片一般要用多片標(biāo)缺點(diǎn):工作速度不夠高,另外,這類芯片一般要用多片標(biāo)準(zhǔn)集成電路構(gòu)成外圍電路才能工作。準(zhǔn)集成電路構(gòu)成外圍電路才能工作。這類電路的特點(diǎn):器件密度高,邏輯功能可由軟件配置,這類電路的特點(diǎn):器件密度高,邏輯功能可由軟件配置,用它所構(gòu)成的數(shù)字系統(tǒng)硬件規(guī)模小,系統(tǒng)靈活性高。用它所構(gòu)成的數(shù)字系統(tǒng)硬件規(guī)模小,系統(tǒng)靈活性高。半導(dǎo)體存儲(chǔ)器是

3、現(xiàn)代數(shù)字系統(tǒng)特別是計(jì)算機(jī)中的半導(dǎo)體存儲(chǔ)器是現(xiàn)代數(shù)字系統(tǒng)特別是計(jì)算機(jī)中的重要組成部分之一。重要組成部分之一。 它用于存放二進(jìn)制信息,每它用于存放二進(jìn)制信息,每一片存儲(chǔ)芯片包含大量的存儲(chǔ)單元,每一個(gè)存儲(chǔ)一片存儲(chǔ)芯片包含大量的存儲(chǔ)單元,每一個(gè)存儲(chǔ)單元由唯一的地址代碼加以區(qū)分。單元由唯一的地址代碼加以區(qū)分。(三)半導(dǎo)體存儲(chǔ)器(三)半導(dǎo)體存儲(chǔ)器(四)專用集成電路(四)專用集成電路(ASIC) (Application Specific Integrated Circuit) ASIC是為滿足一種或幾種特定功能而設(shè)計(jì)制造的集是為滿足一種或幾種特定功能而設(shè)計(jì)制造的集成電路芯片,其密度高。成電路芯片,其密度高

4、。 ASIC芯片能取代由若干個(gè)中小芯片能取代由若干個(gè)中小規(guī)模電路組成的電路板,甚至一個(gè)完整的數(shù)字系統(tǒng)。規(guī)模電路組成的電路板,甚至一個(gè)完整的數(shù)字系統(tǒng)。 ASIC分類分類 全定制(全定制(Full custom design IC):半導(dǎo)體生產(chǎn)廠家半導(dǎo)體生產(chǎn)廠家根據(jù)用戶的特定要求專門設(shè)計(jì)并制造。根據(jù)用戶的特定要求專門設(shè)計(jì)并制造。 半定制(半定制(Semi- custom design IC):半導(dǎo)體生產(chǎn)廠半導(dǎo)體生產(chǎn)廠家設(shè)計(jì)并制造出的標(biāo)準(zhǔn)的半成品芯片,邏輯功能由用戶開家設(shè)計(jì)并制造出的標(biāo)準(zhǔn)的半成品芯片,邏輯功能由用戶開發(fā)。半定制電路可分為:發(fā)。半定制電路可分為:1、 門陣列門陣列 (Gate Arra

5、y)2、可編程邏輯器件可編程邏輯器件(PLD)16.2 半導(dǎo)體存儲(chǔ)器半導(dǎo)體存儲(chǔ)器16.2.1 半導(dǎo)體存儲(chǔ)器概述半導(dǎo)體存儲(chǔ)器概述半導(dǎo)體存儲(chǔ)器半導(dǎo)體存儲(chǔ)器是用半導(dǎo)體器件來存儲(chǔ)二值信息的是用半導(dǎo)體器件來存儲(chǔ)二值信息的大規(guī)模集成電路。大規(guī)模集成電路。優(yōu)點(diǎn)優(yōu)點(diǎn):集成度高、功耗小、可靠性高、價(jià)格低、集成度高、功耗小、可靠性高、價(jià)格低、體積小、外圍電路簡單、便于自動(dòng)化批量生產(chǎn)等。體積小、外圍電路簡單、便于自動(dòng)化批量生產(chǎn)等。(一)(一) 半導(dǎo)體存儲(chǔ)器的分類半導(dǎo)體存儲(chǔ)器的分類(1)按存取方式分類)按存取方式分類 只讀存儲(chǔ)器只讀存儲(chǔ)器(Read Only Memory,ROM)隨機(jī)存取存儲(chǔ)器隨機(jī)存取存儲(chǔ)器(Ran

6、dom Access Memory,RAM) ROM存放固定信息存放固定信息,只能讀出信息只能讀出信息,不能寫入信息不能寫入信息.當(dāng)電源切斷時(shí)當(dāng)電源切斷時(shí),信息依然保留信息依然保留.RAM可以隨時(shí)從任一指定地址讀出數(shù)據(jù)可以隨時(shí)從任一指定地址讀出數(shù)據(jù),也可以也可以隨時(shí)把數(shù)據(jù)寫入任何指定的存儲(chǔ)單元隨時(shí)把數(shù)據(jù)寫入任何指定的存儲(chǔ)單元.(2)按制造工藝分類)按制造工藝分類雙極型半導(dǎo)體存儲(chǔ)器雙極型半導(dǎo)體存儲(chǔ)器MOS型半導(dǎo)體存儲(chǔ)器型半導(dǎo)體存儲(chǔ)器以雙極型觸發(fā)器為基本存儲(chǔ)單元,具有工作速度快、功耗大、以雙極型觸發(fā)器為基本存儲(chǔ)單元,具有工作速度快、功耗大、價(jià)格較高的特點(diǎn),主要用于對(duì)速度要求較高的場(chǎng)合,如在計(jì)算價(jià)格

7、較高的特點(diǎn),主要用于對(duì)速度要求較高的場(chǎng)合,如在計(jì)算機(jī)中用作高速緩沖存儲(chǔ)器。機(jī)中用作高速緩沖存儲(chǔ)器。以以MOS觸發(fā)器或電荷存儲(chǔ)結(jié)構(gòu)為基本存儲(chǔ)單元,具有集成度高、觸發(fā)器或電荷存儲(chǔ)結(jié)構(gòu)為基本存儲(chǔ)單元,具有集成度高、功耗小、工藝簡單、價(jià)格低的特點(diǎn),主要用于大容量存儲(chǔ)系統(tǒng)功耗小、工藝簡單、價(jià)格低的特點(diǎn),主要用于大容量存儲(chǔ)系統(tǒng)中,如在計(jì)算機(jī)中用作主存儲(chǔ)器。中,如在計(jì)算機(jī)中用作主存儲(chǔ)器。(二)(二) 半導(dǎo)體存儲(chǔ)器的主要技術(shù)指標(biāo)半導(dǎo)體存儲(chǔ)器的主要技術(shù)指標(biāo)(1)存儲(chǔ)容量)存儲(chǔ)容量 指存儲(chǔ)器所能存放的二進(jìn)制信息的總量指存儲(chǔ)器所能存放的二進(jìn)制信息的總量(2)存取時(shí)間)存取時(shí)間 一般用一般用讀(或?qū)懀┲芷谧x(或?qū)懀┲?/p>

8、期來描述,連續(xù)兩次讀(或?qū)懀﹣砻枋觯B續(xù)兩次讀(或?qū)懀┎僮鞯淖疃虝r(shí)間間隔稱為讀(或?qū)懀┲芷?。操作的最短時(shí)間間隔稱為讀(或?qū)懀┲芷凇?ROM ROM是存儲(chǔ)固定信息的存儲(chǔ)器。是存儲(chǔ)固定信息的存儲(chǔ)器。ROMROM中的信息是由專中的信息是由專用裝置預(yù)先寫入的,在正常工作過程中只能讀出不能寫用裝置預(yù)先寫入的,在正常工作過程中只能讀出不能寫入。入。 特點(diǎn):特點(diǎn):ROMROM屬于非易失性存儲(chǔ)器,即信息一經(jīng)寫入,屬于非易失性存儲(chǔ)器,即信息一經(jīng)寫入,即便掉電,寫入的信息也不會(huì)丟失。即便掉電,寫入的信息也不會(huì)丟失。 用途:用來存放不需要經(jīng)常修改的程序或數(shù)據(jù),如用途:用來存放不需要經(jīng)常修改的程序或數(shù)據(jù),如計(jì)算機(jī)系統(tǒng)

9、中的計(jì)算機(jī)系統(tǒng)中的CMOSCMOS程序、系統(tǒng)監(jiān)控程序、顯示器字符程序、系統(tǒng)監(jiān)控程序、顯示器字符發(fā)生器中的點(diǎn)陣代碼等。發(fā)生器中的點(diǎn)陣代碼等。16.2.2 只讀存儲(chǔ)器只讀存儲(chǔ)器(ROM) (2)一次性可編程)一次性可編程ROM(Programmable Read Only Memory即即PROM)出廠時(shí),存儲(chǔ)內(nèi)容全為)出廠時(shí),存儲(chǔ)內(nèi)容全為1(或全為(或全為0),用戶可根據(jù)自己的需要編程,但只能編),用戶可根據(jù)自己的需要編程,但只能編程一次。程一次。 按照數(shù)據(jù)寫入方式特點(diǎn)不同,按照數(shù)據(jù)寫入方式特點(diǎn)不同,ROM可分為以下幾種:可分為以下幾種: (1)掩膜)掩膜ROM。廠家把數(shù)據(jù)寫入存儲(chǔ)器中,用戶。廠

10、家把數(shù)據(jù)寫入存儲(chǔ)器中,用戶無法進(jìn)行任何修改。無法進(jìn)行任何修改。 ( 3 ) 光 可 擦 除 可 編 程) 光 可 擦 除 可 編 程 R O M ( E r a s a b l e Programmable Read Only Memory即即 EPROM)。)。采用浮柵技術(shù)生產(chǎn)的可編程存儲(chǔ)器。其內(nèi)容可通過紫外采用浮柵技術(shù)生產(chǎn)的可編程存儲(chǔ)器。其內(nèi)容可通過紫外線照射而被擦除,可多次編程。線照射而被擦除,可多次編程。1. ROM的分類的分類 (5)快閃存儲(chǔ)器()快閃存儲(chǔ)器(Flash Memory)。是新一)。是新一代電信號(hào)擦除的可編程代電信號(hào)擦除的可編程ROM。它既吸收了。它既吸收了EPROM結(jié)

11、構(gòu)簡單、編程可靠的優(yōu)點(diǎn),又保留了結(jié)構(gòu)簡單、編程可靠的優(yōu)點(diǎn),又保留了E2PROM用用隧道效應(yīng)擦除快捷的特性,而且集成度可以做得很隧道效應(yīng)擦除快捷的特性,而且集成度可以做得很高。高。 (4)電可擦除可編程)電可擦除可編程ROM (Electrical Erasable Programmable Read Only Memory即即E2PROM) 。也是采用浮柵技術(shù)生產(chǎn)的可編程。也是采用浮柵技術(shù)生產(chǎn)的可編程ROM,但是構(gòu)成其存儲(chǔ)單元的是隧道,但是構(gòu)成其存儲(chǔ)單元的是隧道MOS管,是管,是用電擦除,并且擦除的速度要快的多(一般為毫秒用電擦除,并且擦除的速度要快的多(一般為毫秒數(shù)量級(jí))。數(shù)量級(jí))。地址譯碼

12、器存儲(chǔ)矩陣輸出緩沖器Dm 1D0W0W1W2 1nA0A1An1三態(tài)控制信息單元(字)存儲(chǔ)單元 存儲(chǔ)矩陣是存放信息的主體,它由許多存儲(chǔ)單元存儲(chǔ)矩陣是存放信息的主體,它由許多存儲(chǔ)單元排列組成。每個(gè)存儲(chǔ)單元存放一位二值代碼排列組成。每個(gè)存儲(chǔ)單元存放一位二值代碼(0 或或 1),若,若干個(gè)存儲(chǔ)單元組成一個(gè)干個(gè)存儲(chǔ)單元組成一個(gè)“字字”(也稱一個(gè)信息單元也稱一個(gè)信息單元)。2. ROM的結(jié)構(gòu)的結(jié)構(gòu) ROM的電路由地址譯碼器、存儲(chǔ)矩陣和輸出控制電路三部分組成。的電路由地址譯碼器、存儲(chǔ)矩陣和輸出控制電路三部分組成。地址譯碼器存儲(chǔ)矩陣輸出緩沖器Dm 1D0W0W1W2 1nA0A1An1三態(tài)控制信息單元(字)

13、存儲(chǔ)單元字線 地址譯碼器有地址譯碼器有n條地址輸入線條地址輸入線A0An-1,2n條譯碼輸條譯碼輸出線出線W0W2n-1,每一條譯碼輸出線,每一條譯碼輸出線Wi稱為稱為“字線字線”,它與,它與存儲(chǔ)矩陣中的一個(gè)存儲(chǔ)矩陣中的一個(gè)“字字”相對(duì)應(yīng)。相對(duì)應(yīng)。 每當(dāng)給定一組輸入地址時(shí),譯碼器只有一條輸出字線每當(dāng)給定一組輸入地址時(shí),譯碼器只有一條輸出字線Wi被選中,該字線可以在存儲(chǔ)矩陣中找到一個(gè)相應(yīng)的被選中,該字線可以在存儲(chǔ)矩陣中找到一個(gè)相應(yīng)的“字字”,并將字中的并將字中的m位信息位信息Dm-1D0送至輸出緩沖器。讀出送至輸出緩沖器。讀出Dm-1D0的的每條數(shù)據(jù)輸出線每條數(shù)據(jù)輸出線Di也稱也稱為為“位線位線

14、”,每個(gè)字中信息的位數(shù)稱為,每個(gè)字中信息的位數(shù)稱為“字長字長”。 地址譯碼器存儲(chǔ)矩陣輸出緩沖器Dm 1D0W0W1W2 1nA0A1An1三態(tài)控制信息單元(字)存儲(chǔ)單元位線地址譯碼器存儲(chǔ)矩陣輸出緩沖器Dm 1D0W0W1W2 1nA0A1An1三態(tài)控制信息單元(字)存儲(chǔ)單元 輸出緩沖器是輸出緩沖器是ROM的數(shù)據(jù)讀出電路,通常用三態(tài)門的數(shù)據(jù)讀出電路,通常用三態(tài)門構(gòu)成,它不僅可以實(shí)現(xiàn)對(duì)輸出數(shù)據(jù)的三態(tài)控制,以便與系構(gòu)成,它不僅可以實(shí)現(xiàn)對(duì)輸出數(shù)據(jù)的三態(tài)控制,以便與系統(tǒng)總線聯(lián)接,統(tǒng)總線聯(lián)接, 還可以提高存儲(chǔ)器的帶負(fù)載能力。還可以提高存儲(chǔ)器的帶負(fù)載能力。 2. 存儲(chǔ)容量及其表示存儲(chǔ)容量及其表示用用“M”表

15、示表示“1024 K”,即,即 1 M = 1024 K = 210 K = 220 。3. 存儲(chǔ)容量及其表示存儲(chǔ)容量及其表示 指存儲(chǔ)器中基本存儲(chǔ)單元的數(shù)量指存儲(chǔ)器中基本存儲(chǔ)單元的數(shù)量 例如,一個(gè)例如,一個(gè) 32 8 的的 ROM,表示它有,表示它有 32 個(gè)字,個(gè)字, 字長為字長為 8 位,存儲(chǔ)容量是位,存儲(chǔ)容量是 32 8 = 256。 對(duì)于大容量的對(duì)于大容量的 ROM常用常用“K”表示表示“1024”,即,即 1 K = 1024 = 210 ;答:答:64 K 8,表示該,表示該ROM它有它有 64 K =216個(gè)字,字長為個(gè)字,字長為 8位。位。一般用一般用“字?jǐn)?shù)字?jǐn)?shù) 字長字長( (

16、即位數(shù)即位數(shù))”)”表示表示16 n地址線地址線 數(shù)據(jù)線數(shù)據(jù)線8條條例:指出例:指出64K8的的ROM存儲(chǔ)容量為多少?至少需要幾根存儲(chǔ)容量為多少?至少需要幾根地址線和數(shù)據(jù)線。地址線和數(shù)據(jù)線。故存儲(chǔ)容量是故存儲(chǔ)容量是 :64 K 8 = 512 K 地址線數(shù)地址線數(shù)n與字?jǐn)?shù)與字?jǐn)?shù)N的關(guān)系:的關(guān)系:nN2 數(shù)據(jù)線數(shù)數(shù)據(jù)線數(shù)=位數(shù)位數(shù)3. 存儲(chǔ)單元結(jié)構(gòu)存儲(chǔ)單元結(jié)構(gòu)4. 存儲(chǔ)單元結(jié)構(gòu)存儲(chǔ)單元結(jié)構(gòu) ( (1) ) 固定固定 ROM 的存儲(chǔ)單元結(jié)構(gòu)的存儲(chǔ)單元結(jié)構(gòu) 二極管二極管 ROM TTL - - ROM MOS - - ROM Wi Dj Wi Dj VCC Wi Dj +VDD 1接半導(dǎo)體管后成為儲(chǔ)

17、接半導(dǎo)體管后成為儲(chǔ) 1 單元;若不接單元;若不接半導(dǎo)體管,則為儲(chǔ)半導(dǎo)體管,則為儲(chǔ) 0 單元。單元。( (2) ) PROM 的存儲(chǔ)單元結(jié)構(gòu)的存儲(chǔ)單元結(jié)構(gòu) PROM 出廠時(shí),全部熔絲都連通,存儲(chǔ)單元的內(nèi)容為出廠時(shí),全部熔絲都連通,存儲(chǔ)單元的內(nèi)容為全全 1( (或全或全 0) ) 。用戶可借助編程工具將某些單元改寫為。用戶可借助編程工具將某些單元改寫為 0 ( (或或 1) ) ,這只要將需儲(chǔ),這只要將需儲(chǔ) 0( (或或 1) )單元的熔絲燒斷即可。單元的熔絲燒斷即可。 熔絲燒斷后不可恢復(fù),因此熔絲燒斷后不可恢復(fù),因此 PROM 只能一次編程。只能一次編程。 二極管二極管 ROM TTL - -

18、ROM MOS - - ROM Wi Dj Wi Dj VCC Wi Dj +VDD 1熔絲熔絲熔絲熔絲熔絲熔絲( (3) ) 可擦除可擦除 PROM 的存儲(chǔ)單元結(jié)構(gòu)的存儲(chǔ)單元結(jié)構(gòu) EPROM 利用編程器寫入數(shù)據(jù),用紫外線擦除數(shù)據(jù)。其集利用編程器寫入數(shù)據(jù),用紫外線擦除數(shù)據(jù)。其集成芯片上有一個(gè)石英窗口供紫外線擦除之用。芯片寫入數(shù)據(jù)成芯片上有一個(gè)石英窗口供紫外線擦除之用。芯片寫入數(shù)據(jù)后,必須用不透光膠紙將石英窗口密封,以免破壞芯片內(nèi)信后,必須用不透光膠紙將石英窗口密封,以免破壞芯片內(nèi)信息。息。E2PROM 也是利用編程器寫入數(shù)據(jù),但用電擦除數(shù)據(jù),也是利用編程器寫入數(shù)據(jù),但用電擦除數(shù)據(jù),并且能擦除與

19、寫入一次完成,性能更優(yōu)越。并且能擦除與寫入一次完成,性能更優(yōu)越。可重復(fù)擦寫可重復(fù)擦寫1萬次萬次以上。以上。用一個(gè)特殊的浮柵用一個(gè)特殊的浮柵 MOS 管替代熔絲。管替代熔絲。 快閃只讀存儲(chǔ)器快閃只讀存儲(chǔ)器是在吸收是在吸收E2PROM擦寫方便擦寫方便和和EPROM結(jié)構(gòu)結(jié)構(gòu)簡單、編程可靠簡單、編程可靠的基礎(chǔ)上研制出來的一種新型器件。的基礎(chǔ)上研制出來的一種新型器件。擦除次數(shù):擦除次數(shù):1000000次次 保存時(shí)間:保存時(shí)間:100年年( (二二) )地址譯碼器地址譯碼器 5. 5. 地址譯碼器地址譯碼器從從ROM中讀出哪個(gè)字由地址碼決定。地址譯中讀出哪個(gè)字由地址碼決定。地址譯碼器的作用是:根據(jù)輸入地址

20、碼選中相應(yīng)的字線,碼器的作用是:根據(jù)輸入地址碼選中相應(yīng)的字線,使該字內(nèi)容通過位線輸出。使該字內(nèi)容通過位線輸出。存儲(chǔ)矩陣中存儲(chǔ)矩陣中存儲(chǔ)單元的存儲(chǔ)單元的編址方式編址方式單譯碼編址方式單譯碼編址方式雙譯碼編址方式雙譯碼編址方式適用于小適用于小容量存儲(chǔ)器。容量存儲(chǔ)器。適用于大適用于大容量存儲(chǔ)器。容量存儲(chǔ)器。一個(gè)一個(gè) n 位地址碼的位地址碼的 ROM 有有 2n 個(gè)字,對(duì)應(yīng)個(gè)字,對(duì)應(yīng) 2n 根字線,根字線,選中字線選中字線 Wi 就選中了該字的所有位。就選中了該字的所有位。D1D7地地址址譯譯碼碼器器0, 01, 031,031,10, 11, 1A0A1A431,70, 71, 7W0W1W31D0

21、單地址譯碼方式單地址譯碼方式 32 8 存儲(chǔ)器的結(jié)構(gòu)圖存儲(chǔ)器的結(jié)構(gòu)圖 單地址譯碼方式單地址譯碼方式 32 8 存儲(chǔ)矩陣排成存儲(chǔ)矩陣排成 32 行行 8 列,每一行對(duì)應(yīng)一個(gè)字,每一列對(duì)應(yīng)列,每一行對(duì)應(yīng)一個(gè)字,每一列對(duì)應(yīng) 32 個(gè)字的同一位。個(gè)字的同一位。32 個(gè)字需要個(gè)字需要 5 根地址輸入線。當(dāng)根地址輸入線。當(dāng) A4 A0 給出一個(gè)地址信給出一個(gè)地址信號(hào)時(shí),便可選中相應(yīng)字的所有存儲(chǔ)單元。號(hào)時(shí),便可選中相應(yīng)字的所有存儲(chǔ)單元。例如,當(dāng)例如,當(dāng) A4 A0 = 00000 時(shí),選中字線時(shí),選中字線 W0,可將,可將 (0,0) (0,7) 這這 8 個(gè)基本存儲(chǔ)單元的內(nèi)容同時(shí)讀出。個(gè)基本存儲(chǔ)單元的內(nèi)容

22、同時(shí)讀出。地址碼分成行地址碼和列地址碼兩組地址碼分成行地址碼和列地址碼兩組A5A7行行地地址址譯譯碼碼器器W0W1W15W31W16W17A0A1A3W255W240W241X0X1X15A4雙地址譯碼方式雙地址譯碼方式 256 字存儲(chǔ)器的結(jié)構(gòu)圖字存儲(chǔ)器的結(jié)構(gòu)圖A2列列地地址址譯譯碼碼器器A6Y1Y15Y0 雙地址譯碼方式雙地址譯碼方式例如例如 當(dāng)當(dāng) A7 A0 = 00001111 時(shí),時(shí),X15 和和 Y0 地址線均地址線均 為高電平,字為高電平,字W15 被選中,其存儲(chǔ)內(nèi)容被讀出。被選中,其存儲(chǔ)內(nèi)容被讀出。若采用單地址譯碼方式,則需若采用單地址譯碼方式,則需 256 根內(nèi)部地址線。根內(nèi)部

23、地址線。256 字存儲(chǔ)器需要字存儲(chǔ)器需要 8 根地址線,分為根地址線,分為 A7 A4 和和 A3 A0 兩組。兩組。A3 A0 送入行地址譯碼器,產(chǎn)生送入行地址譯碼器,產(chǎn)生 16 根行地址線根行地址線 ( Xi ) ; A7 A4 送入列地址譯送入列地址譯碼器,產(chǎn)生碼器,產(chǎn)生 16 根列地址線根列地址線 ( Yi ) 。存儲(chǔ)矩陣中的某個(gè)字能否被選中,由行、。存儲(chǔ)矩陣中的某個(gè)字能否被選中,由行、列地址線共同決定。列地址線共同決定。地地 址址 數(shù)數(shù) 據(jù)據(jù) A1 A0D3 D2 D1 D00 00 11 01 10 1 0 11 0 1 00 1 1 11 1 1 06. 二極管固定二極管固定RO

24、M舉例舉例 后圖為存放表中數(shù)據(jù)的具有兩位地址輸入和四位數(shù)據(jù)輸出的后圖為存放表中數(shù)據(jù)的具有兩位地址輸入和四位數(shù)據(jù)輸出的ROM結(jié)構(gòu)圖,結(jié)構(gòu)圖, 其存儲(chǔ)單元及地址譯碼器均用用二極管構(gòu)成。其存儲(chǔ)單元及地址譯碼器均用用二極管構(gòu)成。圖中,圖中,W0W3四條字線四條字線分別選擇存儲(chǔ)矩陣中的四分別選擇存儲(chǔ)矩陣中的四個(gè)字,每個(gè)字存放四位信個(gè)字,每個(gè)字存放四位信息。制作芯片時(shí),若在某息。制作芯片時(shí),若在某個(gè)字中的某一位存入個(gè)字中的某一位存入“1”,則在該字的字線則在該字的字線Wi與位線與位線Di之間接入二極管,反之,之間接入二極管,反之,就不接二極管。就不接二極管。A A1 10 01 11 1A A1 11 1

25、. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .ENDENENDDDEN. .DDDD00112233輸出緩沖器輸出緩沖器位位線線WWWW0123字字線線. . . .ENVCC. . . .(地址譯碼器)(地址譯碼器)(存儲(chǔ)矩陣)(存儲(chǔ)矩陣). . .讀出數(shù)據(jù)時(shí),首先輸入地讀出數(shù)據(jù)時(shí),首先輸入地址碼,并對(duì)輸出緩沖器實(shí)址碼,并對(duì)輸出緩沖器實(shí)現(xiàn)三態(tài)控制,則在數(shù)據(jù)輸現(xiàn)三態(tài)控制,則在數(shù)據(jù)輸出端出端D3D0可以獲得該地可以獲得該地址對(duì)應(yīng)字中所存儲(chǔ)的數(shù)據(jù)。址對(duì)應(yīng)字中所存儲(chǔ)的數(shù)據(jù)。01010010

26、1011010101201013AAAADAAAAAADAAAAAADAAAAD 地地 址址 數(shù)數(shù) 據(jù)據(jù) A1 A0D3 D2 D1 D00 00 11 01 10 1 0 11 0 1 00 1 1 11 1 1 0 根據(jù)根據(jù)ROM全部地址內(nèi)所存儲(chǔ)的數(shù)據(jù)表,可寫出數(shù)據(jù)輸全部地址內(nèi)所存儲(chǔ)的數(shù)據(jù)表,可寫出數(shù)據(jù)輸出端出端D3D0對(duì)應(yīng)的表達(dá)式如下:對(duì)應(yīng)的表達(dá)式如下:可見:可見:ROM實(shí)實(shí)際是一種組合電際是一種組合電路結(jié)構(gòu)。路結(jié)構(gòu)。7. ROM應(yīng)用舉例應(yīng)用舉例 ROM可以實(shí)現(xiàn)任意組合邏輯函數(shù),特別是可以實(shí)現(xiàn)任意組合邏輯函數(shù),特別是多輸入多輸出的邏輯函數(shù)。設(shè)計(jì)實(shí)現(xiàn)時(shí),只需要多輸入多輸出的邏輯函數(shù)。設(shè)計(jì)實(shí)

27、現(xiàn)時(shí),只需要列出真值表,列出真值表,輸入看作地址輸入看作地址,輸出作為存儲(chǔ)內(nèi)容輸出作為存儲(chǔ)內(nèi)容,將內(nèi)容按地址寫入將內(nèi)容按地址寫入ROM即可。即可。例例1:函數(shù)運(yùn)算表電路。:函數(shù)運(yùn)算表電路。試用試用ROM構(gòu)成能實(shí)現(xiàn)函數(shù)構(gòu)成能實(shí)現(xiàn)函數(shù)y=x2的運(yùn)算表電路,的運(yùn)算表電路,x的的取值范圍為取值范圍為015的正整數(shù)。的正整數(shù)?!窘饨狻浚?)分析要求、設(shè)定變量)分析要求、設(shè)定變量 自變量自變量x的取值范圍為的取值范圍為015的正整數(shù),對(duì)應(yīng)的的正整數(shù),對(duì)應(yīng)的4位二進(jìn)制位二進(jìn)制正整數(shù),用正整數(shù),用B=B3B2B1B0表示。根據(jù)表示。根據(jù)y=x2的運(yùn)算關(guān)系,可求出的運(yùn)算關(guān)系,可求出y的最大值是的最大值是1522

28、25,可以用,可以用8位二進(jìn)制數(shù)位二進(jìn)制數(shù) Y=Y7Y6Y5Y4Y3Y2Y1Y0表示。表示。(2)列真值表)列真值表函數(shù)運(yùn)算表(函數(shù)運(yùn)算表(ROM的內(nèi)容)的內(nèi)容)(3)分析可知,電路可用如圖所示的)分析可知,電路可用如圖所示的ROM來實(shí)現(xiàn),來實(shí)現(xiàn),該該ROM需用需用4根地址線,根地址線,8根數(shù)據(jù)線,容量至少為根數(shù)據(jù)線,容量至少為 位。位。824 B3B2B1B0Y7Y0例例2. ROM 在波形發(fā)生器中的應(yīng)用在波形發(fā)生器中的應(yīng)用ROMD/A計(jì)計(jì)數(shù)數(shù)器器CP計(jì)數(shù)脈沖計(jì)數(shù)脈沖送示波器送示波器3位位4位位A1A2A0D3D2D1D0D/A01000000000001111111111100000000

29、000000000000001111111111124812963tuo08.8.集成集成 EPROM 簡介簡介 27 系列系列 EPROM 是最常用的是最常用的 EPROM,型,型號(hào)從號(hào)從 2716、2732、2764 一直到一直到 27C040。存儲(chǔ)。存儲(chǔ)容量分別為容量分別為 2K 8、4K 8一直到一直到 512K 8。下面以下面以 Intel 2716 為例,介紹其功能及使用方法。為例,介紹其功能及使用方法。VCCIntel 2716A8A9VPPOEA10CED7D6D5D4D3A7A6A5A4A3A2A1A0D0D1D2GND1234567891011122423222120191

30、81716151413 A10 A0 為地址碼輸入端。為地址碼輸入端。D7 D0 為數(shù)據(jù)線,工作時(shí)為數(shù)據(jù)為數(shù)據(jù)線,工作時(shí)為數(shù)據(jù)輸出端,編程時(shí)為寫入數(shù)據(jù)輸入端。輸出端,編程時(shí)為寫入數(shù)據(jù)輸入端。VCC 和和 GND:+5 V 工作電源和地。工作電源和地。VPP 為編程高電平輸入端。編程時(shí)加為編程高電平輸入端。編程時(shí)加 +25 V 電壓,工作時(shí)加電壓,工作時(shí)加 +5 V 電壓。電壓。 (1)(1) Intel 2716引腳圖引腳圖 及其功能及其功能 CE 有兩種功能:有兩種功能: ( (1) )工作時(shí)為片選使能端,低電工作時(shí)為片選使能端,低電 平有效。平有效。CE = 0 時(shí),芯片被時(shí),芯片被 選中

31、,處于工作狀態(tài)。選中,處于工作狀態(tài)。 ( (2) )編程時(shí)為編程脈沖輸入端。編程時(shí)為編程脈沖輸入端。存儲(chǔ)容量為存儲(chǔ)容量為 2 K 8 受光窗口受光窗口工作方式工作方式讀讀 出出未選中未選中待待 機(jī)機(jī)編編 程程禁止編程禁止編程校驗(yàn)讀出校驗(yàn)讀出CEOEVPP數(shù)據(jù)線數(shù)據(jù)線D7D0的狀態(tài)的狀態(tài)0 0 +5V 讀出的數(shù)據(jù)讀出的數(shù)據(jù) 1 +5V 高高 阻阻 1 +5V 高高 阻阻1 +25V 寫入的數(shù)據(jù)寫入的數(shù)據(jù)0 1 +25V 高高 阻阻0 0 +25V 讀出校驗(yàn)數(shù)據(jù)讀出校驗(yàn)數(shù)據(jù)即即2716工作方式工作方式 (2)(2)由由 CE、OE 和和 VPP 的不同狀態(tài),確定的不同狀態(tài),確定 2716 的下列的

32、下列 5 種工作方式種工作方式 9. 電可擦除的可編程電可擦除的可編程E2PROM 1芯片特性芯片特性 1 28 2 27 3 26 4 25 5 Intel 24 6 2864A 23 7 22 8 21 9 20 10 19 11 18 12 17 13 16 14 15A0A6A7A122A5A4A3A2A1I/O0I/O1I/O2GNDR/BI/O3I/O4I/O5I/O6I/O7CSA10OEA11A9A8VSSWEVccE2PROM2864A的引腳的引腳R/符號(hào)符號(hào)名稱名稱功能說明功能說明A12A0地址線地址線輸入輸入I/O7I/O0數(shù)據(jù)輸入數(shù)據(jù)輸入/輸出線輸出線雙向,讀出時(shí)為輸出

33、,寫入雙向,讀出時(shí)為輸出,寫入/擦除時(shí)為輸入擦除時(shí)為輸入片選和電源控制線片選和電源控制線輸入,控制數(shù)據(jù)輸入輸出輸入,控制數(shù)據(jù)輸入輸出寫入允許控制線寫入允許控制線 線的電平狀態(tài)和時(shí)序狀態(tài)控制線的電平狀態(tài)和時(shí)序狀態(tài)控制2864A的操作的操作數(shù)據(jù)輸出允許線數(shù)據(jù)輸出允許線控制數(shù)據(jù)讀出控制數(shù)據(jù)讀出5V電源電源準(zhǔn)備就緒準(zhǔn)備就緒/ 忙狀態(tài)線忙狀態(tài)線用來向用來向CPU提供狀態(tài)信號(hào)提供狀態(tài)信號(hào)CEWE輸入,進(jìn)行擦輸入,進(jìn)行擦/寫,功率下降操作時(shí),根據(jù)寫,功率下降操作時(shí),根據(jù)CS和WEOECCVBE2PROM2846A芯片引腳功能說明芯片引腳功能說明2工作方式工作方式 引腳信號(hào)引腳信號(hào)工作方式工作方式讀出讀出00

34、1高阻高阻輸出輸出維持維持1高阻高阻高阻高阻寫入寫入010低低輸入輸入字節(jié)擦除字節(jié)擦除字節(jié)寫入前自動(dòng)擦除字節(jié)寫入前自動(dòng)擦除CSOEWER/B數(shù)據(jù)線功能16.2.3 隨機(jī)存取存儲(chǔ)器隨機(jī)存取存儲(chǔ)器(RAM)RAM可以隨時(shí)從任一指定地址讀出數(shù)據(jù)可以隨時(shí)從任一指定地址讀出數(shù)據(jù),也可以隨時(shí)把也可以隨時(shí)把數(shù)據(jù)寫入任何指定的存儲(chǔ)單元數(shù)據(jù)寫入任何指定的存儲(chǔ)單元 .RAM在計(jì)算機(jī)中主要用來存放程序及程序執(zhí)行過程在計(jì)算機(jī)中主要用來存放程序及程序執(zhí)行過程中產(chǎn)生的中間數(shù)據(jù)、運(yùn)算結(jié)果等中產(chǎn)生的中間數(shù)據(jù)、運(yùn)算結(jié)果等.優(yōu)點(diǎn):優(yōu)點(diǎn):讀、寫方便讀、寫方便,使用靈活使用靈活。缺點(diǎn):缺點(diǎn):一旦停電所存儲(chǔ)的數(shù)據(jù)將隨之丟失(易失性)一

35、旦停電所存儲(chǔ)的數(shù)據(jù)將隨之丟失(易失性)。Random Access Memory SRAM:不斷電情況下,數(shù)據(jù)一經(jīng)寫入,可長期:不斷電情況下,數(shù)據(jù)一經(jīng)寫入,可長期保存,保存,觸發(fā)器觸發(fā)器結(jié)構(gòu)。結(jié)構(gòu)。 DRAM:不斷電情況下,數(shù)據(jù)寫入要定時(shí)刷新,:不斷電情況下,數(shù)據(jù)寫入要定時(shí)刷新,否則數(shù)據(jù)可能丟失。(否則數(shù)據(jù)可能丟失。(場(chǎng)效應(yīng)管加電容場(chǎng)效應(yīng)管加電容)1、 隨機(jī)存取存儲(chǔ)器(隨機(jī)存取存儲(chǔ)器(RAM)分類)分類RAM按工藝分類:按工藝分類: 1)雙極型雙極型;2)場(chǎng)效應(yīng)管型場(chǎng)效應(yīng)管型。場(chǎng)效應(yīng)管型分為:場(chǎng)效應(yīng)管型分為: 1)靜態(tài)靜態(tài)RAM;2)動(dòng)態(tài)動(dòng)態(tài)RAM。2. RAM的結(jié)構(gòu)的結(jié)構(gòu).A0A1An-1地

36、地址址譯譯碼碼器器存存 儲(chǔ)儲(chǔ)矩矩 陣陣 W0W1W2n-1字線字線地址線地址線讀寫讀寫/控制電路控制電路讀寫讀寫/控制控制(R/W)片選片選(CS)數(shù)據(jù)輸入數(shù)據(jù)輸入/輸出輸出 (I/O)ENEN11I/ODR/W當(dāng)片選信號(hào)當(dāng)片選信號(hào)CS無效時(shí),無效時(shí),I/O對(duì)外呈高阻對(duì)外呈高阻;當(dāng)片選信號(hào)當(dāng)片選信號(hào)CS有效時(shí),由有效時(shí),由R/W信號(hào)決定讀或?qū)懶盘?hào)決定讀或?qū)?根據(jù)根據(jù)地址信號(hào)地址信號(hào),通過通過I/O輸出或輸入。輸出或輸入。(I/O為雙向三態(tài)結(jié)構(gòu)為雙向三態(tài)結(jié)構(gòu))XiYjI/OI/OVCCQQT6T4T3T1T2T5T7T8位位線線Bj位位線線Bj存儲(chǔ)存儲(chǔ)單元單元11I/OI/OQQ3. RAM的存

37、儲(chǔ)單元的存儲(chǔ)單元(1)SRAM基本存儲(chǔ)單元基本存儲(chǔ)單元 (以六管以六管NMOS靜態(tài)存儲(chǔ)單元為例靜態(tài)存儲(chǔ)單元為例) 圖中是六管圖中是六管CMOS靜態(tài)存儲(chǔ)單元。靜態(tài)存儲(chǔ)單元。CMOS反相器反相器T1,T2,T3,T4交叉反饋構(gòu)成基本交叉反饋構(gòu)成基本RS鎖鎖存器,用于存儲(chǔ)一位二進(jìn)制信息。存器,用于存儲(chǔ)一位二進(jìn)制信息。 T5,T6管是由行線管是由行線Xi控制的門控管,控制鎖控制的門控管,控制鎖存器與位線的接通與斷開。存器與位線的接通與斷開。6只只MOS管構(gòu)成了管構(gòu)成了一個(gè)靜態(tài)存儲(chǔ)單元,稱為六管靜態(tài)存儲(chǔ)單元。一個(gè)靜態(tài)存儲(chǔ)單元,稱為六管靜態(tài)存儲(chǔ)單元。該單元所在列線該單元所在列線Yj的列控制門的列控制門T7

38、 , T8控制該列控制該列線與數(shù)據(jù)線的通斷。線與數(shù)據(jù)線的通斷。 n當(dāng)選擇線當(dāng)選擇線Xi ,Yj均為高電平時(shí),存儲(chǔ)單元被選均為高電平時(shí),存儲(chǔ)單元被選中,從而進(jìn)行讀寫操作。中,從而進(jìn)行讀寫操作。(2)DRAM基本存儲(chǔ)單元基本存儲(chǔ)單元DRAM的基本存儲(chǔ)電路由動(dòng)態(tài)的基本存儲(chǔ)電路由動(dòng)態(tài)MOS基本存儲(chǔ)單元組成?;敬鎯?chǔ)單元組成。DMOS基本存儲(chǔ)單元通常利用基本存儲(chǔ)單元通常利用MOS管柵極電容或其它寄管柵極電容或其它寄生電容的電荷存儲(chǔ)效應(yīng)來存儲(chǔ)信息。生電容的電荷存儲(chǔ)效應(yīng)來存儲(chǔ)信息。電路結(jié)構(gòu)(以單管動(dòng)態(tài)存儲(chǔ)單元為例)電路結(jié)構(gòu)(以單管動(dòng)態(tài)存儲(chǔ)單元為例)位線位線數(shù)據(jù)線數(shù)據(jù)線 (D)字選線字選線TCSCD輸出輸出電

39、容電容寫信息:寫信息:字選線為字選線為1,T導(dǎo)通,導(dǎo)通,數(shù)據(jù)數(shù)據(jù)D經(jīng)經(jīng)T送入送入CS .讀信息:讀信息:字選線為字選線為1,T導(dǎo)通,導(dǎo)通,CS上的數(shù)據(jù)經(jīng)上的數(shù)據(jù)經(jīng)T送入位線的等送入位線的等效電容效電容CD .特點(diǎn):特點(diǎn): 1)當(dāng)不讀信息時(shí),電荷在電容)當(dāng)不讀信息時(shí),電荷在電容CS上的保上的保 存時(shí)間約為數(shù)毫秒到數(shù)百毫秒;存時(shí)間約為數(shù)毫秒到數(shù)百毫秒; 2)當(dāng)讀出信息時(shí),由于要對(duì))當(dāng)讀出信息時(shí),由于要對(duì)CD充電,使充電,使 CS上的電荷減少。為破壞性讀出。上的電荷減少。為破壞性讀出。 3)通常在)通常在CS上呈現(xiàn)的代表上呈現(xiàn)的代表1和和0信號(hào)的電平信號(hào)的電平 值相差不大,故信號(hào)較弱。值相差不大,故

40、信號(hào)較弱。結(jié)論:結(jié)論:1)需加刷新電路;)需加刷新電路;2)輸出端需加高鑒別能力的輸出放大器。)輸出端需加高鑒別能力的輸出放大器。3)容量較大的)容量較大的RAM集成電路一集成電路一 般采用單管電般采用單管電 路。路。4)容量較小的)容量較小的RAM集成電路一集成電路一 般采用三般采用三 管或四管或四 管電路。多管電路結(jié)構(gòu)復(fù)雜,但外圍電路簡管電路。多管電路結(jié)構(gòu)復(fù)雜,但外圍電路簡 單。單。4. RAM的芯片簡介的芯片簡介61166116為為2K2K8 8位靜態(tài)位靜態(tài)CMOSRAMCMOSRAM芯片引腳排列圖:芯片引腳排列圖:A0A10是地址碼輸入端,是地址碼輸入端,D0D7是數(shù)據(jù)輸出端,是數(shù)據(jù)輸

41、出端, 是選片端,是選片端, 是輸出使能端,是輸出使能端, 是寫入控制端。是寫入控制端。1234567891011121314151617181920212223246116765432112AAAAAAADD00ADVAAWEOECSDDDDDADD891076543GNDD7A9A0R/W CSD1D3D2D0A9A0R/W CSD1D3D2D0. . . . .D6D5D4D1D3D2D0.CSR/WA0A92114 (1)2114 (2)例例用兩片用兩片2114 (存儲(chǔ)容量為(存儲(chǔ)容量為1K4位)實(shí)現(xiàn)存儲(chǔ)容量為位)實(shí)現(xiàn)存儲(chǔ)容量為1K8位位 1、 位數(shù)的擴(kuò)展位數(shù)的擴(kuò)展:16.2.4 存儲(chǔ)

42、器容量的擴(kuò)展存儲(chǔ)器容量的擴(kuò)展方法方法:把各片對(duì)應(yīng)的地址線連接在一起,數(shù)據(jù)線并列使用即可。:把各片對(duì)應(yīng)的地址線連接在一起,數(shù)據(jù)線并列使用即可。2、字?jǐn)?shù)的擴(kuò)展:字?jǐn)?shù)的擴(kuò)展: 方法:方法: 各片各片RAM對(duì)應(yīng)的數(shù)據(jù)線聯(lián)接在一起,對(duì)應(yīng)的數(shù)據(jù)線聯(lián)接在一起,地址線也并聯(lián)接起來構(gòu)成低位地址。而余出的高地址線也并聯(lián)接起來構(gòu)成低位地址。而余出的高位的地址線,首先通過譯碼器譯碼,然后將其輸位的地址線,首先通過譯碼器譯碼,然后將其輸出按高低位接至各片的選片控制端。出按高低位接至各片的選片控制端。 例如用例如用2114接成接成4096字字4位的存儲(chǔ)器時(shí),位的存儲(chǔ)器時(shí),需要需要4個(gè)個(gè)2114組件,共組件,共12根地址線

43、。連接時(shí),將根地址線。連接時(shí),將各片中的地址各片中的地址A0-A9對(duì)應(yīng)相連;而高位地址對(duì)應(yīng)相連;而高位地址A10、A11經(jīng)經(jīng)2-4譯碼,按高低位控制譯碼,按高低位控制4片片2114的的CS端。端。見下圖:見下圖:CSR/WA9A0D2D1D0D3CSR/WA9A0D2D1D0D3CSR/WA9A0D2D1D0D3CSR/WA9A0D2D1D0D32 4譯譯碼碼器器A11A10A0A9D3D2D1D02114 (1)2114 (2)2114 (3)2114 (4)R/WY0Y3A11A10選中片序號(hào)選中片序號(hào) 對(duì)應(yīng)的存儲(chǔ)單元對(duì)應(yīng)的存儲(chǔ)單元 0 0 1 1 1 0 0 12114(1)2114(2

44、)2114(3)2114(4)0000 10231024 20472048 30713072 4095 用用2114接成接成4096字字4位型存儲(chǔ)器時(shí),高位位型存儲(chǔ)器時(shí),高位地址和存儲(chǔ)單元的關(guān)系如下表:地址和存儲(chǔ)單元的關(guān)系如下表:16.3 16.3 可編程邏輯器件可編程邏輯器件 可編程邏輯器件(簡稱可編程邏輯器件(簡稱PLDPLD)是一種由用戶編程)是一種由用戶編程以實(shí)現(xiàn)某種邏輯功能的新型器件,它為多輸入多輸以實(shí)現(xiàn)某種邏輯功能的新型器件,它為多輸入多輸出的組合邏輯或時(shí)序邏輯電路提供了一體化的解決出的組合邏輯或時(shí)序邏輯電路提供了一體化的解決方案。在實(shí)際電路設(shè)計(jì)中,方案。在實(shí)際電路設(shè)計(jì)中,PLDP

45、LD可代替各種小規(guī)模和可代替各種小規(guī)模和中規(guī)模集成電路,從而節(jié)省電路板空間、減少集成中規(guī)模集成電路,從而節(jié)省電路板空間、減少集成電路數(shù)目和降低成本。因此在數(shù)字電路及數(shù)字系統(tǒng)電路數(shù)目和降低成本。因此在數(shù)字電路及數(shù)字系統(tǒng)設(shè)計(jì)中得到了廣泛應(yīng)用。設(shè)計(jì)中得到了廣泛應(yīng)用。(1)低密度)低密度PLD(SPLD) 每個(gè)芯片集成的邏輯門數(shù)大約在每個(gè)芯片集成的邏輯門數(shù)大約在1000門以下門以下可編程只讀存儲(chǔ)器(可編程只讀存儲(chǔ)器(PROM)可編程邏輯陣列(可編程邏輯陣列(Programmable Logic Array,簡稱,簡稱PLA)可編程陣列邏輯(可編程陣列邏輯(Programmable Array Logi

46、c,簡稱,簡稱PAL)通用陣列邏輯(通用陣列邏輯(Generic Array Logic,簡稱,簡稱GAL)一、可編程邏輯器件的分類一、可編程邏輯器件的分類16.3.1 PLD概述概述可擦除的可編程邏輯器件可擦除的可編程邏輯器件 (Erasable Programmable Logic Array,簡稱,簡稱EPLD)復(fù)雜的可編程邏輯器件復(fù)雜的可編程邏輯器件 (Complex Programmable Logic Array,簡稱,簡稱CPLD)現(xiàn)場(chǎng)可編程門陣列現(xiàn)場(chǎng)可編程門陣列 (Field Programmable Gate Array,簡稱,簡稱FPGA)(2)高密度)高密度PLD 每個(gè)芯

47、片集成的邏輯門數(shù)達(dá)數(shù)千門,甚至上萬門,每個(gè)芯片集成的邏輯門數(shù)達(dá)數(shù)千門,甚至上萬門,具有在系統(tǒng)可編程或現(xiàn)場(chǎng)可編程特性,可用于實(shí)現(xiàn)較大具有在系統(tǒng)可編程或現(xiàn)場(chǎng)可編程特性,可用于實(shí)現(xiàn)較大規(guī)模的邏輯電路規(guī)模的邏輯電路 根據(jù)根據(jù)與與、或或陣列的可編程性,陣列的可編程性,PLD分為三種基本結(jié)構(gòu)。分為三種基本結(jié)構(gòu)。1)與與陣列固定,陣列固定,或或陣列可編程型結(jié)構(gòu)陣列可編程型結(jié)構(gòu)PROM屬于這種結(jié)構(gòu)。屬于這種結(jié)構(gòu)。2)與與、或或陣列均可編程型結(jié)構(gòu)陣列均可編程型結(jié)構(gòu)PLA(Programmable Logic Array)屬于這種結(jié)構(gòu)。屬于這種結(jié)構(gòu)。特點(diǎn):與陣列規(guī)模大,速度較低。特點(diǎn):與陣列規(guī)模大,速度較低。特點(diǎn)

48、:速度快,設(shè)計(jì)邏輯函數(shù)可采用最簡結(jié)構(gòu),芯片內(nèi)特點(diǎn):速度快,設(shè)計(jì)邏輯函數(shù)可采用最簡結(jié)構(gòu),芯片內(nèi)部資源利用率高。但編程難度大,缺乏質(zhì)高價(jià)廉的開發(fā)部資源利用率高。但編程難度大,缺乏質(zhì)高價(jià)廉的開發(fā)工具。工具。二、二、PLD的基本結(jié)構(gòu)的基本結(jié)構(gòu)3)或或陣列固定,陣列固定,與與陣列可編程型結(jié)構(gòu)陣列可編程型結(jié)構(gòu)PAL(Programmable Array Logic)屬于這種結(jié)構(gòu)。屬于這種結(jié)構(gòu)。特點(diǎn):特點(diǎn):速度快,費(fèi)用低,易于編程。速度快,費(fèi)用低,易于編程。當(dāng)前許多當(dāng)前許多PLD器件都采用這種結(jié)構(gòu)。器件都采用這種結(jié)構(gòu)。三、三、 PLD器件的連接表示方法器件的連接表示方法固定連接固定連接可編程連接可編程連接不

49、連接不連接(1)PLD 器件的連接表示法器件的連接表示法 (2)門電路表示法)門電路表示法ABC&FA B C&F與門與門 1AA1AAAA反向緩沖器反向緩沖器PLD表示法表示法傳統(tǒng)表示法傳統(tǒng)表示法或或 ABC1FA B C1F或門或門 緩沖器緩沖器或或(3) 陣列圖陣列圖D=BC1A1B1C&E=AABBCC=0F=AABBCC=0G=1四、四、PLD的發(fā)展趨勢(shì)的發(fā)展趨勢(shì) 向高集成度、高速度方向進(jìn)一步發(fā)展向高集成度、高速度方向進(jìn)一步發(fā)展 最高集成度已達(dá)到最高集成度已達(dá)到400萬門萬門 向低電壓和低功耗方向發(fā)展,向低電壓和低功耗方向發(fā)展,5V3.3V2.5V1.8V更低

50、更低 內(nèi)嵌多種功能模塊內(nèi)嵌多種功能模塊 RAM,ROM,F(xiàn)IFO,DSP,CPU 向數(shù)、?;旌峡删幊谭较虬l(fā)展向數(shù)、模混合可編程方向發(fā)展比較:可編程只讀存儲(chǔ)器比較:可編程只讀存儲(chǔ)器PROM1&1Y0Y1Y2ABC11&11與陣列固定與陣列固定或陣列可編或陣列可編與陣列與陣列最小項(xiàng)最小項(xiàng) 或陣列或陣列最小項(xiàng)的和項(xiàng)最小項(xiàng)的和項(xiàng)16.3.2 PLA(Programmable Logic Array) PLA結(jié)構(gòu)與結(jié)構(gòu)與ROM類似,但它的類似,但它的與陣列是可編程、或陣列也是與陣列是可編程、或陣列也是可編程可編程。在。在PLA的輸出端產(chǎn)生的邏輯函數(shù)是的輸出端產(chǎn)生的邏輯函數(shù)是簡化的與或表達(dá)

51、式簡化的與或表達(dá)式??删幊踢壿嬯嚵锌删幊踢壿嬯嚵蠵LA1&1Y0Y1Y2ABC11&11特點(diǎn):特點(diǎn):與陣列與陣列、或陣列均可編程或陣列均可編程PLA應(yīng)用應(yīng)用 首先根據(jù)邏輯要求列出真值表,得出最簡表達(dá)式;首先根據(jù)邏輯要求列出真值表,得出最簡表達(dá)式;然后把真值表的輸入作為然后把真值表的輸入作為PLA的輸入,畫出相應(yīng)的陣的輸入,畫出相應(yīng)的陣列圖。列圖。例例1:用用PLA設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)代碼轉(zhuǎn)換電代碼轉(zhuǎn)換電路,將一位十進(jìn)制數(shù)的路,將一位十進(jìn)制數(shù)的8421碼轉(zhuǎn)換碼轉(zhuǎn)換成余三碼成余三碼。 BDBCAWDCBDBCBXDCCDYDZ AABBCCDDWXYZ與與陣陣列列或或陣陣列列BDBCA

52、WDCBDBCBXDCCDYDZ 16.3.3 PAL(Programmable Array Logic) PAL是在是在ROM和和PLA基礎(chǔ)上發(fā)展起來的,它采基礎(chǔ)上發(fā)展起來的,它采用用可編程的與陣列和固定的或陣列可編程的與陣列和固定的或陣列組成。組成。每個(gè)交叉點(diǎn)都每個(gè)交叉點(diǎn)都可編程。可編程。L1 L1為兩個(gè)為兩個(gè)乘積項(xiàng)之和。乘積項(xiàng)之和。與陣列可編程,或陣列不可編程。與陣列可編程,或陣列不可編程。 常見的常見的PALPAL器件中,輸入變量最多的可達(dá)器件中,輸入變量最多的可達(dá)2020個(gè),個(gè),與陣列中與項(xiàng)的個(gè)數(shù)最多有與陣列中與項(xiàng)的個(gè)數(shù)最多有8080個(gè),或陣列輸出端個(gè),或陣列輸出端最多的有最多的有1

53、010個(gè),每個(gè)或門輸入端最多的達(dá)個(gè),每個(gè)或門輸入端最多的達(dá)1616個(gè)。個(gè)。 為了擴(kuò)展電路的功能并增加使用的靈活性,為了擴(kuò)展電路的功能并增加使用的靈活性,PALPAL在與或陣列的基礎(chǔ)上,增加了多種輸出及反饋在與或陣列的基礎(chǔ)上,增加了多種輸出及反饋電路,構(gòu)成了各種型號(hào)的電路,構(gòu)成了各種型號(hào)的PALPAL器件。根據(jù)器件。根據(jù)PALPAL器件器件的輸出結(jié)構(gòu)和反饋電路的不同,可將它們大致分的輸出結(jié)構(gòu)和反饋電路的不同,可將它們大致分成專用輸出結(jié)構(gòu)、可編程輸入成專用輸出結(jié)構(gòu)、可編程輸入/ /輸出結(jié)構(gòu)、寄存器輸出結(jié)構(gòu)、寄存器輸出結(jié)構(gòu)、異或輸出結(jié)構(gòu)等幾種類型。輸出結(jié)構(gòu)、異或輸出結(jié)構(gòu)等幾種類型。 PAL的專用輸出

54、結(jié)構(gòu)的專用輸出結(jié)構(gòu)或門或門高電平有效高電平有效PAL器件(器件(H型)型)或非門或非門低電平有效低電平有效PAL器件(器件(L型)型)互補(bǔ)器件互補(bǔ)器件互補(bǔ)輸出互補(bǔ)輸出PAL器件(器件(C型)型)PAL的可編程輸入的可編程輸入/輸出結(jié)構(gòu)輸出結(jié)構(gòu) 輸出端為一個(gè)可編程控制的三態(tài)緩沖器輸出端為一個(gè)可編程控制的三態(tài)緩沖器 當(dāng)當(dāng)EN為為0時(shí),三態(tài)緩沖器輸出為高阻態(tài),對(duì)應(yīng)的時(shí),三態(tài)緩沖器輸出為高阻態(tài),對(duì)應(yīng)的IO引腳作為輸入使用;引腳作為輸入使用; 當(dāng)當(dāng)EN為為1時(shí),三態(tài)緩沖器處于工作狀態(tài),對(duì)應(yīng)的時(shí),三態(tài)緩沖器處于工作狀態(tài),對(duì)應(yīng)的IO引腳作為輸出使用。引腳作為輸出使用。 輸出端經(jīng)過一個(gè)互補(bǔ)輸出的緩沖器反饋到與

55、邏輯陣列上。輸出端經(jīng)過一個(gè)互補(bǔ)輸出的緩沖器反饋到與邏輯陣列上。PAL的寄存器輸出結(jié)構(gòu)的寄存器輸出結(jié)構(gòu)適合于實(shí)現(xiàn)計(jì)數(shù)器、移位寄存器等時(shí)序邏輯電路適合于實(shí)現(xiàn)計(jì)數(shù)器、移位寄存器等時(shí)序邏輯電路 PAL的異或輸出結(jié)構(gòu)的異或輸出結(jié)構(gòu)例:用例:用PAL實(shí)現(xiàn)組合邏輯函數(shù)。實(shí)現(xiàn)組合邏輯函數(shù)。 GAL結(jié)構(gòu)與結(jié)構(gòu)與PAL相同,相同, 由可編程的與陣列來驅(qū)動(dòng)一個(gè)固由可編程的與陣列來驅(qū)動(dòng)一個(gè)固定的或陣列,其差別在于輸出結(jié)構(gòu)不同。寄存器型定的或陣列,其差別在于輸出結(jié)構(gòu)不同。寄存器型PAL的輸?shù)妮敵鍪且粋€(gè)有記憶功能的出是一個(gè)有記憶功能的D觸發(fā)器,而觸發(fā)器,而GAL器件的每一個(gè)輸出器件的每一個(gè)輸出端都有一個(gè)可組態(tài)的輸出邏輯宏

56、單元端都有一個(gè)可組態(tài)的輸出邏輯宏單元OLMC ( Output Logic Macro Cells)。 GAL采用高速的電可擦除的采用高速的電可擦除的E2CMOS工藝,具有速度快、工藝,具有速度快、功耗低、其集成度在功耗低、其集成度在1000門以下,屬于簡單、低密度型時(shí)序門以下,屬于簡單、低密度型時(shí)序可編程邏輯器件??删幊踢壿嬈骷?。16.3.3 通用陣列邏輯通用陣列邏輯GAL(General Array Logic)GAL16V8的陣列結(jié)構(gòu)與引腳圖的陣列結(jié)構(gòu)與引腳圖1.GAL的結(jié)構(gòu)的結(jié)構(gòu)OLMC結(jié)構(gòu)結(jié)構(gòu)10S1=1 PTMUX&13210S1S1XOR(n)AC0AC1(n)3210S

57、1S0Vcc TSMUX FMUX10S OMUX1ENAC0AC1(n)C11D來自來自與門與門陣列陣列來自鄰來自鄰級(jí)輸出級(jí)輸出 (m)QCKOECKOE1反反饋饋I/O(n)乘積項(xiàng)乘積項(xiàng)數(shù)據(jù)選數(shù)據(jù)選擇器擇器三態(tài)數(shù)據(jù)三態(tài)數(shù)據(jù)選擇器選擇器輸出數(shù)據(jù)輸出數(shù)據(jù)選擇器選擇器反饋數(shù)據(jù)選擇器反饋數(shù)據(jù)選擇器AC0、AC1(n)及及XOR(n)均為均為GAL器件片內(nèi)控制字中的結(jié)器件片內(nèi)控制字中的結(jié)構(gòu)控制位。結(jié)構(gòu)控制字共有構(gòu)控制位。結(jié)構(gòu)控制字共有82位,不同的控制內(nèi)容,可使位,不同的控制內(nèi)容,可使OLMC被配置成不同的功能組態(tài)。被配置成不同的功能組態(tài)??刂谱值膬?nèi)容是在編程時(shí)由編程器根據(jù)用戶定義控制字的內(nèi)容是在編

58、程時(shí)由編程器根據(jù)用戶定義 的管腳的管腳及實(shí)現(xiàn)的函數(shù)自動(dòng)寫入的。及實(shí)現(xiàn)的函數(shù)自動(dòng)寫入的。2.GAL的主要特點(diǎn)的主要特點(diǎn) 通用性強(qiáng)通用性強(qiáng) 100%可編程可編程 速度高,功率低速度高,功率低 100%可測(cè)試可測(cè)試 3. GAL器件的編程與開發(fā)器件的編程與開發(fā) 應(yīng)用應(yīng)用GALGAL可以設(shè)計(jì)組合邏輯電路或時(shí)序邏輯電路。可以設(shè)計(jì)組合邏輯電路或時(shí)序邏輯電路。進(jìn)行電路設(shè)計(jì)時(shí),必須使用相應(yīng)的軟件、硬件開發(fā)工進(jìn)行電路設(shè)計(jì)時(shí),必須使用相應(yīng)的軟件、硬件開發(fā)工具才能完成。隨著具才能完成。隨著EDAEDA技術(shù)和可編程邏輯器件的發(fā)展,技術(shù)和可編程邏輯器件的發(fā)展,GALGAL器件的應(yīng)用設(shè)計(jì)、調(diào)試工作可以在計(jì)算機(jī)上用軟器件的

59、應(yīng)用設(shè)計(jì)、調(diào)試工作可以在計(jì)算機(jī)上用軟件來完成,并且對(duì)器件實(shí)現(xiàn)的功能可以像軟件一樣實(shí)件來完成,并且對(duì)器件實(shí)現(xiàn)的功能可以像軟件一樣實(shí)時(shí)地加以編程和修改,從而使硬件系統(tǒng)具有軟件一樣時(shí)地加以編程和修改,從而使硬件系統(tǒng)具有軟件一樣的靈活性,為系統(tǒng)開發(fā)節(jié)約了成本,縮短了開發(fā)周期。的靈活性,為系統(tǒng)開發(fā)節(jié)約了成本,縮短了開發(fā)周期。GAL器件編程與開發(fā)流程器件編程與開發(fā)流程 軟件工具軟件工具硬件工具硬件工具GAL器件仍然存在著以下問題:器件仍然存在著以下問題:時(shí)鐘必須共用;時(shí)鐘必須共用;或的乘積項(xiàng)最多只有或的乘積項(xiàng)最多只有8個(gè);個(gè); GAL器件的規(guī)模小,達(dá)不到在單片內(nèi)集成一個(gè)數(shù)器件的規(guī)模小,達(dá)不到在單片內(nèi)集成一

60、個(gè)數(shù)字系統(tǒng)的要求;字系統(tǒng)的要求; 盡管盡管GAL器件有加密的功能,但隨著解密技術(shù)的器件有加密的功能,但隨著解密技術(shù)的發(fā)展,對(duì)于這種陣列規(guī)模小的可編程邏輯器件解密發(fā)展,對(duì)于這種陣列規(guī)模小的可編程邏輯器件解密已不是難題。已不是難題。 以上三種以上三種PLA、PAL、GAL屬于早期的屬于早期的小規(guī)模的小規(guī)模的PLD器件,內(nèi)部結(jié)構(gòu)簡單,工作速器件,內(nèi)部結(jié)構(gòu)簡單,工作速度快,適于完成較簡單的邏輯功能。度快,適于完成較簡單的邏輯功能。 CPLD CPLD和和FPGAFPGA是繼是繼PALPAL和和GALGAL后規(guī)模更大、后規(guī)模更大、密度更高的可編程邏輯器件。而在系統(tǒng)編程密度更高的可編程邏輯器件。而在系統(tǒng)編程(In-System ProgrammableIn-Sy

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論