多功能數(shù)字電子鐘_第1頁(yè)
多功能數(shù)字電子鐘_第2頁(yè)
多功能數(shù)字電子鐘_第3頁(yè)
多功能數(shù)字電子鐘_第4頁(yè)
多功能數(shù)字電子鐘_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)字邏輯與數(shù)字系統(tǒng)課程設(shè)計(jì)實(shí)驗(yàn)報(bào)告 多功能數(shù)字電子鐘 成績(jī): 指導(dǎo)教師: 班級(jí) : 學(xué)號(hào) : 姓名 : 完成時(shí)間: 目錄一概述21.1數(shù)字鐘簡(jiǎn)介21.2設(shè)計(jì)目的3二工作原理3三功能設(shè)計(jì)要求4四各部分的電路及其實(shí)現(xiàn)74. 1 主控制器742 1選6數(shù)據(jù)選擇器1143 2選1數(shù)據(jù)選擇器1344 分頻器1445 24進(jìn)制計(jì)時(shí)器1546 60進(jìn)制計(jì)時(shí)器1747 閃爍器194. 8 模8計(jì)數(shù)器2049 8選1數(shù)據(jù)選擇器21410 數(shù)據(jù)比較器及報(bào)時(shí)電路23411 2選1數(shù)據(jù)選擇器25412 74LS48譯碼器26五頂層模塊圖28六心得與體會(huì)28一概述1.1數(shù)字鐘簡(jiǎn)介 20世紀(jì)末,電子技術(shù)獲得了飛速的發(fā)展,

2、在其推動(dòng)下,現(xiàn)代電子產(chǎn)品幾乎深入到社會(huì)的各個(gè)領(lǐng)域,有力的推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度的提高,給人們的生活,學(xué)習(xí),工作,娛樂(lè)帶來(lái)了極大地方便。由于數(shù)字集成電路的發(fā)展,使得數(shù)字電子鐘具有走時(shí)準(zhǔn)確,性能穩(wěn)定,體積小,功耗小,功能多,攜帶方便等優(yōu)點(diǎn)。電子鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)的時(shí),分,秒計(jì)時(shí)的裝置,與機(jī)械時(shí)鐘相比具有更長(zhǎng)的使用壽命,在許多電子設(shè)備中被廣泛使用。1.2設(shè)計(jì)目的(1)讓學(xué)生掌握時(shí)序邏輯電路,組合邏輯電路及數(shù)字邏輯電路系統(tǒng)的設(shè)計(jì),安裝,測(cè)試方法。(2)進(jìn)一步鞏固所學(xué)的理論知識(shí),提高運(yùn)用所學(xué)知識(shí)分析和解決實(shí)際問(wèn)題的能力。(3)提高電路布局,布線及檢查和排除故障的能力。二工作原理電

3、子鐘是一個(gè)將“ 時(shí)”,“分”,“秒”顯示于人的視覺器官的計(jì)時(shí)裝置。它的計(jì)時(shí)周期為24小時(shí),顯示滿刻度為23時(shí)59分59秒,具有校時(shí)功能和報(bào)時(shí)功能。因此,一個(gè)基本的數(shù)字鐘電路主要由譯碼顯示器、“時(shí)”,“分”,“秒”計(jì)數(shù)器、校時(shí)電路、報(bào)時(shí)電路和振蕩器組成。主電路系統(tǒng)由秒信號(hào)發(fā)生器、“時(shí)、分、秒”計(jì)數(shù)器、譯碼器及顯示器、校時(shí)電路、整點(diǎn)報(bào)時(shí)電路組成。秒信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)的時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來(lái)實(shí)現(xiàn)。將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖?!胺钟?jì)數(shù)器”也采用60進(jìn)制

4、計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被送到“時(shí)計(jì)數(shù)器”?!皶r(shí)計(jì)數(shù)器”采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的累計(jì)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器的輸出狀態(tài)用七段顯示譯碼器譯碼,通過(guò)七段顯示器顯示出來(lái)。整點(diǎn)報(bào)時(shí)電路時(shí)根據(jù)計(jì)時(shí)系統(tǒng)的輸出狀態(tài)產(chǎn)生一脈沖信號(hào),然后去觸發(fā)一音頻發(fā)生器實(shí)現(xiàn)報(bào)時(shí)。校時(shí)電路時(shí)用來(lái)對(duì)“時(shí)”、“分”、“秒”顯示數(shù)字進(jìn)行校對(duì)調(diào)整。三功能設(shè)計(jì)要求3.1設(shè)計(jì)要求(1)具有以二十四小時(shí)制計(jì)時(shí),顯示,整點(diǎn)報(bào)時(shí),時(shí)間設(shè)置和鬧鐘的功能。(2)設(shè)計(jì)精度要求為1 s。3.2. 系統(tǒng)功能描述(1)系統(tǒng)輸入:系統(tǒng)狀態(tài)及校時(shí),定時(shí)轉(zhuǎn)換的控制信號(hào)為k,set;時(shí)鐘信號(hào)cl

5、k,采用1024HZ;系統(tǒng)復(fù)位信號(hào)為reset;校時(shí)按鍵輸入為mode。輸入信號(hào)均由按鍵產(chǎn)生。(2)系統(tǒng)輸出:LED顯示輸出;蜂鳴器聲音信號(hào)輸出。(3)多功能數(shù)字鐘控制器的狀態(tài)圖如圖所示:S0T0S2S1S3T1T3T4k =1set = 1=1k = 1=1k = 1=1set = 1=1k = 1=1k = 1=1k=1k =1圖中:S0:顯示計(jì)時(shí)時(shí)間 S1:調(diào)計(jì)時(shí)的時(shí) S2:調(diào)計(jì)時(shí)的分 S3:調(diào)計(jì)時(shí)的秒 T0:顯示鬧鈴時(shí)間 T1:調(diào)鬧鈴的時(shí) T2:調(diào)鬧鈴的分 T3:調(diào)鬧鈴的秒3.3系統(tǒng)功能的具體描述如下:(1)計(jì)時(shí):正常工作狀態(tài)下,每日按24h計(jì)時(shí)制計(jì)時(shí)并顯示,蜂鳴器無(wú)聲,逢整點(diǎn)報(bào)時(shí)。(

6、2)校時(shí):在計(jì)時(shí)顯示狀態(tài)下,按下“set鍵”,進(jìn)入“小時(shí)”校準(zhǔn)狀態(tài),之后按下“k鍵”則進(jìn)入“分”校準(zhǔn)狀態(tài),繼續(xù)按下“k鍵”則進(jìn)入“秒”校準(zhǔn)狀態(tài),再次按下“k鍵”又恢復(fù)到正常計(jì)時(shí)顯示狀態(tài)。A:“小時(shí)”校準(zhǔn)狀態(tài)下,顯示“小時(shí)”的數(shù)碼管閃爍,按下mode鍵自動(dòng)加1。B:“分”校準(zhǔn)狀態(tài)下,顯示“分”的數(shù)碼管閃爍,按下mode鍵自動(dòng)加1。C:“秒”校準(zhǔn)狀態(tài)下,顯示“秒”的數(shù)碼管閃爍,按下mode鍵自動(dòng)加1。(3) 整點(diǎn)報(bào)時(shí):蜂鳴器在59分鐘的第51,53, 55,57秒發(fā)頻率為512HZ的低音,在第59秒發(fā)頻率為1024HZ的高音,結(jié)束時(shí)為整點(diǎn)。(4)顯示:采用掃描顯示方式驅(qū)動(dòng)6個(gè)LED數(shù)碼管顯示小時(shí),

7、分 ,秒。(5) 鬧鐘:鬧鐘定時(shí)時(shí)間到,蜂鳴器發(fā)出512HZ的“滴”,“滴”聲持續(xù)時(shí)間為1分鐘,鬧鐘定時(shí)顯示。(6)鬧鐘定時(shí)設(shè)置:在鬧鐘定時(shí)顯示狀態(tài)下,按下“set鍵”進(jìn)入鬧鐘的“時(shí)”設(shè)置狀態(tài),之后按下“k鍵”進(jìn)入鬧鐘的“分”設(shè)置狀態(tài),繼續(xù)按下“k鍵”進(jìn)入鬧鐘的秒設(shè)置狀態(tài),再次按下“k鍵”又恢復(fù)到鬧鐘定時(shí)顯示狀態(tài)。(7)鬧鐘定時(shí)設(shè)置狀態(tài)A:“小時(shí)”校準(zhǔn)狀態(tài)下,顯示“小時(shí)”的數(shù)碼管閃爍,按下mode鍵自動(dòng)加1。B:“分”校準(zhǔn)狀態(tài)下,顯示“分”的數(shù)碼管閃爍,按下mode鍵自動(dòng)加1。C:“秒”校準(zhǔn)狀態(tài)下,顯示“秒”的數(shù)碼管閃爍,按下mode鍵自動(dòng)加1。3.4數(shù)字鐘系統(tǒng)電路結(jié)構(gòu)框圖如圖所示modecl

8、k set控制器計(jì)時(shí)電路定時(shí)電路顯示控制電路掃描顯示電路分頻器比較電路及報(bào)時(shí)電路kreset 蜂鳴器 四各部分的電路及其實(shí)現(xiàn)4.1主控制器(1)模塊圖(2)控制器功能控制時(shí)鐘的正常計(jì)時(shí)顯示和閃爍,校時(shí);鬧鐘的定時(shí)及閃爍;選擇時(shí)鐘還是鬧鐘的顯示輸出;系統(tǒng)清零。(3)源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity zhukong isport(k,set,reset,clk,mode:in std_logic; clr,ou

9、tclk:out std_logic; s_hen,s_men,s_sen,t_hen,t_men,t_sen,sel:out std_logic; t:out std_logic_vector(2 downto 0) );end zhukong;architecture rtl of zhukong istype state is(s0,s1,s2,s3,t0,t1,t2,t3);signal ns:state:=s0;begin process(k,set,reset,clk,mode) begin if(reset='1')then clr<='1'

10、s_hen<='0's_men<='0's_sen<='0't_hen<='0't_men<='0't_sen<='0' ns<=s0;outclk<='0' elsif(clk'event and clk='1')then case ns is whens0=>sel<='1'clr<='0's_hen<='0's_men<='

11、;0's_sen<='0't_hen<='0't_men<='0't_sen<='0'outclk<='0' if(k='1')then ns<=t0; elsif(set='1')then ns<=s1; else ns<=s0; end if; when s1=>sel<='1'clr<='0's_hen<='1's_men<='0'

12、;s_sen<='0't_hen<='0't_men<='0't_sen<='0't<="001"outclk<=mode; if(k='1')then ns<=s2; else ns<=s1; end if;when s2=>sel<='1'clr<='0's_hen<='1's_men<='1's_sen<='0't_hen<

13、;='0't_men<='0't_sen<='0't<="010"outclk<=mode; if(k='1')then ns<=s3; else ns<=s2; end if; when s3=>sel<='1'clr<='0's_hen<='1's_men<='1's_sen<='1't_hen<='0't_men<='0

14、't_sen<='0't<="011"outclk<=mode; if(k='1')then ns<=s0; else ns<=s3; end if;when t0=>sel<='0'clr<='0's_hen<='0's_men<='0's_sen<='0't_hen<='0't_men<='0't_sen<='0'outc

15、lk<='0' if(k='1')then ns<=s0; elsif(set='1')then ns<=t1; else ns<=t0; end if; when t1=>sel<='0'clr<='0's_hen<='0's_men<='0's_sen<='0't_hen<='1't_men<='0't_sen<='0't<=&quo

16、t;100"outclk<=mode; if(k='1')then ns<=t2; else ns<=t1; end if; when t2=>sel<='0'clr<='0's_hen<='0's_men<='0's_sen<='0't_hen<='0't_men<='1't_sen<='0't<="101"outclk<=mode; i

17、f(k='1')then ns<=t3; else ns<=t2; end if;when t3= >sel<='0'clr<='0's_hen<='0's_men<='0's_sen<='0't_hen<='0't_men<='0't_sen<='1' t<="110"outclk<=mode; if(k='1')then ns<=

18、t0; else ns<=t3; end if;when others=>ns<=s0;end case;end if;end process;end rtl;功能闡述:s0, s1, s2, s3, t0, t1, t2, t3分別對(duì)應(yīng)八種狀態(tài),實(shí)驗(yàn)箱的按鍵自然狀態(tài)下默認(rèn)為高電平,所以輸入接了非門。輸入端口k與set 是八種狀態(tài)相互轉(zhuǎn)換的按鍵信號(hào);reset是系統(tǒng)復(fù)位信號(hào),當(dāng)reset=1時(shí)讓輸出端口clr=1完成正常計(jì)時(shí)電路及鬧鐘電路的全部清零操作,clk是時(shí)鐘頻率;s_hen, s_men, s_sen, t_hen, t_men, t_sen,分別是正常顯示電路及鬧鐘

19、電路的時(shí),分,秒的使能端,當(dāng)使能為0時(shí)正常顯示,為1時(shí)進(jìn)行校時(shí)及閃爍。sel決定顯示計(jì)時(shí)電路還是鬧鐘電路;t 決定當(dāng)校時(shí)時(shí)讓mode信號(hào)給誰(shuí)。(4)仿真圖42 1選6數(shù)據(jù)選擇器(1)模塊圖(2)選擇器功能sel是地址,根據(jù)地址選擇將clkin(時(shí)鐘)賦給y1y6,y0是when others時(shí)的情況,它不輸出也不給任何值(3)源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity select1_6 isport(clkin:i

20、n std_logic; sel:in std_logic_vector(2 downto 0); y0,y1,y2,y3,y4,y5,y6:out std_logic );end select1_6;architecture rtl of select1_6 isbegin process(sel,clkin) begin case sel is when "001"=>y1<=clkin; when "010"=>y2<=clkin; when "011"=>y3<=clkin; when &q

21、uot;100"=>y4<=clkin; when "101"=>y5<=clkin; when "110"=>y6<=clkin; when others=>y0<=clkin; end case; end process;end rtl;(4)仿真圖43 2選1數(shù)據(jù)選擇器(1)模塊圖(2)選擇器功能當(dāng)en=0時(shí),讓時(shí)鐘clk1給outclk;當(dāng)en=0時(shí),讓時(shí)鐘clkmode給outclk(3)源代碼library ieee;use ieee.std_logic_1164.all;entity

22、 select2_clk isport(clk1,clkmode,en:in std_logic; outclk:out std_logic );end select2_clk;architecture rtl of select2_clk isbegin process(en,clk1,clkmode) begin if(en='0')then outclk<=clk1; else outclk<=clkmode; end if; end process;end rtl;(4) 仿真圖44 分頻器(1) 模塊圖(2) 分頻器功能將輸入頻率clk為1024HZ分為1

23、024HZ,512HZ,4HZ,1HZ。(3)源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk:in std_logic; clk1024,clk512,clk4,clk1:out std_logic );end fenpin;architecture rtl of fenpin issignal q:std_logic_vector(9 downto 0):="0000000

24、000" begin process(clk,q) begin if(clk'event and clk='1')then if(q="1111111111")then q<="0000000000" else q<=q+'1' end if; end if; end process;clk1024<=clk;clk512<=q(0);clk4<=q(7);clk1<=q(9);end rtl;(4)仿真圖45 24進(jìn)制計(jì)時(shí)器(1)模塊圖(2)計(jì)時(shí)器功能clr=1時(shí)在時(shí)

25、鐘的配合下實(shí)現(xiàn)清零操作,否則遞增加1,到23時(shí)歸零,d1為高位,d2為低位。(3) 源代碼LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY mod24 IS PORT( clk : IN STD_LOGIC; clr : IN STD_LOGIC; d1 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); d2 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END mod24;AR

26、CHITECTURE rtl of mod24 ISSIGNAL d1_temp : STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"SIGNAL d2_temp : STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"signal coo:std_logic:='0'BEGIN PROCESS(clk,clr,d1_temp,d2_temp) BEGIN if(clk'event and clk='1')then IF (clr='1' or (d1_t

27、emp="0010" and d2_temp="0011") THEN d1_temp<="0000" ; d2_temp<="0000" coo<='1' elsif (d1_temp<="0010")then if (d2_temp="1001")then d2_temp<="0000" d1_temp<=d1_temp+'1' coo<='0' else d2_

28、temp<=d2_temp+'1' coo<='0' END IF; END IF; end if; d1<=d1_temp; d2<=d2_temp; END PROCESS; END rtl;(4) 仿真圖46 60進(jìn)制計(jì)時(shí)器(1) 模塊圖(2) 計(jì)時(shí)器功能clr=1時(shí)在時(shí)鐘的配合下實(shí)現(xiàn)清零操作,否則遞增加1,到59時(shí)歸零,d1為高位,d2為低位。co為進(jìn)位輸出(3) 源代碼LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE

29、.STD_LOGIC_ARITH.ALL;ENTITY mod60 IS PORT( clk : IN STD_LOGIC; clr : IN STD_LOGIC; d1 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); d2 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); co:out std_logic );END mod60;ARCHITECTURE rtl of mod60 ISSIGNAL d1_temp : STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"SIGNAL d2_temp : ST

30、D_LOGIC_VECTOR(3 DOWNTO 0):="0000"signal coo:std_logic:='0'BEGIN PROCESS(clk,clr,d1_temp,d2_temp,coo) BEGIN if(clk'event and clk='1')then IF (clr='1' or (d1_temp="0101" and d2_temp="1001") THEN d1_temp<="0000" ; d2_temp<="

31、;0000" coo<='1' elsif (d1_temp<="0101")then if(d2_temp="1001")then d2_temp<="0000" d1_temp<=d1_temp+'1' coo<='0' else d2_temp<=d2_temp+'1' coo<='0' END IF; END IF; end if; d1<=d1_temp; d2<=d2_temp;

32、co<=coo; end process;END rtl;(4) 仿真圖47 閃爍器(1) 模塊圖(2) 閃爍器功能當(dāng)en=0時(shí),讓其正常顯示;當(dāng)en=1時(shí),如果clk時(shí)鐘的狀態(tài)為1則顯示,否則讓輸出為1110,在74ls48中讓這個(gè)狀態(tài)為滅.( 3 )源代碼library ieee;use ieee.std_logic_1164.all;entity shanshuo isport(en,clk:in std_logic; dih,dil:in std_logic_vector(3 downto 0); doh,dol:out std_logic_vector(3 downto 0)

33、);end shanshuo;architecture rtl of shanshuo is begin process(en,clk,dih,dil) begin if(en='1')then if(clk='1')then doh<=dih; dol<=dil; else doh<="1110" dol<="1110" end if; else doh<=dih; dol<=dil; end if; end process;end rtl;(4)仿真圖4.8模8計(jì)數(shù)器(1)模塊圖(2

34、)功能在1024HZ下進(jìn)行模八,輸出送給8選1數(shù)據(jù)選擇器和138譯碼器(3) 源代碼LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY count8 IS PORT( clk:in std_logic; y :out std_logic_vector(2 downto 0) );END count8;ARCHITECTURE rtl of count8 issignal q:std_logic_vector(2 downto 0)

35、:="000" begin process(clk,q) begin if(clk'event and clk='1')then if(q="111")then q<="000" else q<=q+'1' end if; end if; y<=q; end process;end rtl;(4) 仿真圖49 8選1數(shù)據(jù)選擇器(1)模塊圖(2)選擇器功能通過(guò)sel地址選擇將d0d7中的一個(gè)送給y輸出(3)源代碼LIBRARY IEEE;USE IEEE.STD_LOGIC_11

36、64.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY select8 IS PORT( en : IN STD_LOGIC; d0 : IN STD_LOGIC_vector(3 downto 0); d1 : in STD_LOGIC_VECTOR(3 DOWNTO 0); d2 :in STD_LOGIC_VECTOR(3 DOWNTO 0); d3 :in STD_LOGIC_VECTOR(3 DOWNTO 0); d4 :in STD_LOGIC_VECTOR(3 DOWNTO 0); d5

37、 :in STD_LOGIC_VECTOR(3 DOWNTO 0); d6 :in STD_LOGIC_VECTOR(3 DOWNTO 0); d7 :in STD_LOGIC_VECTOR(3 DOWNTO 0); sel:in std_logic_vector(2 downto 0); y :out std_logic_vector(3 downto 0) );END select8;ARCHITECTURE rtl of select8 is begin process(sel,d0,d1,d2,d3,d4,d5,d6,d7) begin if(en='1')then c

38、ase sel is when "000"=>y<=d0; when "001"=>y<=d1; when "010"=>y<=d2; when "011"=>y<=d3; when "100"=>y<=d4; when "101"=>y<=d5; when "110"=>y<=d6; when "111"=>y<=d7; end case;

39、 end if; end process;end rtl;(4)仿真圖410 數(shù)據(jù)比較器及報(bào)時(shí)電路(1)模塊圖(2)功能描述計(jì)時(shí)電路的時(shí)與分和鬧鐘的時(shí)與分相同時(shí),響512HZ的頻率1分鐘,否則在59分鐘的第51,53, 55,57秒發(fā)頻率為512HZ的低音,在第59秒發(fā)頻率為1024HZ的高音,結(jié)束時(shí)為整點(diǎn)。(3)源代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity compare isport( clk1,clk512,cl

40、k1024:in std_logic; A1,A2,A3,A4,A5,A6:in std_logic_vector(3 downto 0); B1,B2,B3,B4,B5,B6:in std_logic_vector(3 downto 0); outclk:out std_logic );end compare;architecture rtl of compare issignal d:std_logic_vector(2 downto 0):="000"signal s:std_logic:='0'begin process( A1,A2,A3,A4,A

41、5,A6,B1,B2,B3,B4,B5,B6,clk1,clk512,clk1024) begin if(A1=B1 and A2=B2 and A3=B3 and A4=B4 )then if(clk1='1')then outclk<=clk512; else outclk<='0' end if; end if; if(A3="0101" and A4="1001" and A5="0101")then if(A6="0001" or A6="0011&q

42、uot; or A6="0101" or A6="0111")then outclk<=clk512; elsif(A6="1001")then outclk<=clk1024; else outclk<='0' end if; end if; end process;end rtl;(4)仿真圖411 2選1數(shù)據(jù)選擇器(1)模塊圖(2)功能當(dāng)k=1時(shí)讓計(jì)時(shí)電路顯示,否則讓鬧鐘電路顯示(3)源代碼library ieee;use ieee.std_logic_1164.all;entity select2 isport(k:in std_logic; d1:in std_logic_vector(3 downto 0); d2:in std_logic_v

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論