四層電梯的控制--EDA技術(shù)設(shè)計 - 副本_第1頁
四層電梯的控制--EDA技術(shù)設(shè)計 - 副本_第2頁
四層電梯的控制--EDA技術(shù)設(shè)計 - 副本_第3頁
四層電梯的控制--EDA技術(shù)設(shè)計 - 副本_第4頁
四層電梯的控制--EDA技術(shù)設(shè)計 - 副本_第5頁
已閱讀5頁,還剩25頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、目錄1 引言 (11.1設(shè)計背景 (11.2設(shè)計基礎(chǔ) (12 電梯控制器的具體設(shè)計 (42.1設(shè)計目的 (42.2設(shè)計要求 (42.3設(shè)計思路 (43 三層電梯控制器的綜合設(shè)計(VHDL語言實(shí)現(xiàn) (63.1分析判斷 (63.2程序端口和寄存器說明 (103.3各模塊設(shè)計說明 (113.4具體語句設(shè)計說明 (134 三層電梯控制器調(diào)試、仿真和硬件測試 (144.1程序調(diào)試 (144.2程序波形仿真 (154.3硬件測試 (195 結(jié)論 (205.1 設(shè)計功能實(shí)現(xiàn)情況 (205.2 設(shè)計心得 (20參考文獻(xiàn) (21附錄 (221 引言1.1設(shè)計背景近年來,隨著我國房地產(chǎn)業(yè)的持續(xù)高速發(fā)展,高層建筑越

2、來越多。因此,一種能使人們快速、便捷地到達(dá)目的樓層的電梯便應(yīng)運(yùn)而生了。分析近幾年房地產(chǎn)業(yè)的發(fā)展趨勢,特別是商品住宅的高速發(fā)展,將使住宅對電梯的需求量持續(xù)攀升。人們對電梯安全性、高效性、舒適性的不斷追求也推動了電梯技術(shù)的進(jìn)步。隨著電梯技術(shù)的發(fā)展, 綠色化、低能耗、智能化、網(wǎng)絡(luò)化、藍(lán)牙技術(shù)的電梯成為一段時間內(nèi)的發(fā)展趨勢。為保證人們能更安全、更快捷地到達(dá)目的樓層,實(shí)現(xiàn)人性化的功能,對電梯系統(tǒng)中的控制部分進(jìn)行優(yōu)化設(shè)計是非常必要。因此這部分的設(shè)計也就成了在電梯設(shè)計領(lǐng)域里最為核心的技術(shù)。為了實(shí)現(xiàn)電梯的智能化,可以采用許多方法。它的智能化控制可以有以下幾種形式:1.PLC控制;2.單板機(jī)控制;3.單片機(jī)控制

3、;4.單微機(jī)控制;5.多微機(jī)控制; 6.人工智能控制。隨著EDA技術(shù)的快速發(fā)展,電子設(shè)計自動化(EDA逐漸成為重要的設(shè)計手段,已經(jīng)廣泛應(yīng)用于模擬與數(shù)字電路系統(tǒng)等許多領(lǐng)域。它是一種實(shí)現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動化設(shè)計的技術(shù),與電子技術(shù)、微電子技術(shù)的發(fā)展密切相關(guān),并吸收了計算機(jī)科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以高性能的計算機(jī)作為工作平臺,促進(jìn)了工程發(fā)展。傳統(tǒng)單片機(jī)設(shè)計的電梯控制外圍電路復(fù)雜,性能不穩(wěn)定,而采用EDA設(shè)計,卻擁有電子系統(tǒng)小型化、低功耗、高可靠性、開發(fā)過程投資小、周期短等優(yōu)點(diǎn),而且還可以通過軟件編程對硬件結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),使得硬件設(shè)計如軟件設(shè)計那般方便快捷。本次設(shè)計就是應(yīng)用EDA電子電

4、路技術(shù)來設(shè)計電梯控制器,從而使用一片芯片就可以實(shí)現(xiàn)對電梯的控制的。1.2設(shè)計基礎(chǔ)主要是應(yīng)用現(xiàn)代電子技術(shù)中的EDA技術(shù)。利用EDA技術(shù)實(shí)現(xiàn)四層電梯的控制與目前主流的利用可編程邏輯控制器實(shí)現(xiàn)電梯控制緊密相連。硬件描述語言是EDA 技術(shù)的重要組成部分,VHDL是作為電子設(shè)計主流硬件的描述語言。使用VHDL語言進(jìn)行程序的設(shè)計,以Quartusll為核心。該程序可以實(shí)現(xiàn)電梯的升降,開門,關(guān)門等基礎(chǔ)功能。EDA是電子設(shè)計自動化(Electronic Design Automation的縮寫,在20世紀(jì)90年代初從計算機(jī)輔助設(shè)計(CAD、計算機(jī)輔助制造(CAM、計算機(jī)輔助測試(CAT和計算機(jī)輔助工程(CAE

5、的概念發(fā)展而來的。EDA技術(shù)就是以計算機(jī)為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機(jī)自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計,具有以下幾個特點(diǎn):1.用軟件的方式設(shè)計硬件;2.用軟件方式設(shè)計的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動完成的;3.設(shè)計過程中可用有關(guān)軟件進(jìn)行各種仿真;4.系統(tǒng)可現(xiàn)場編程,在線升級;5.整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高。因此,EDA技術(shù)是現(xiàn)代電子設(shè)計的發(fā)展趨勢。VHDL(Very-High-Speed

6、Integrated Circuit Hardware Description Language主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口3。它于1983年由美國國防部發(fā)起創(chuàng)建,由電工和電子工程師協(xié)會(the Institute of Electrical and Electronics Engineers進(jìn)一步發(fā)展并在1987年作為“IEEE 1076”發(fā)布。從此,VHDL成為硬件描述語言的業(yè)界標(biāo)準(zhǔn)之一。VHDL作為一個規(guī)范語言和建模語言,具有很強(qiáng)的電路描述和建模能力,能從多個層次對數(shù)字系統(tǒng)進(jìn)行建模和描述,從而大大簡化了硬件設(shè)計任務(wù),提高了設(shè)計效率和可靠性。VHDL具有與具體硬件電路無關(guān)和

7、設(shè)計平臺無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化結(jié)構(gòu)化設(shè)計方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項工程設(shè)計,或稱設(shè)計實(shí)體(可以是一個元件,一個電路模塊或一個系統(tǒng)分成外部(或稱可是部分,及端口和內(nèi)部(或稱不可視部分,既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對一個設(shè)計實(shí)體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實(shí)體。Quartus II 是Altera公司的綜合性PLD/FPGA開發(fā)軟件,原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Langu

8、age等多種設(shè)計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計輸入到硬件配置的完整PLD設(shè)計流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl腳本完成設(shè)計流程外,提供了完善的用戶圖形界面設(shè)計方式。具有運(yùn)行速度快,界面統(tǒng)一,功能集中,易學(xué)易用等特點(diǎn)。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設(shè)計的復(fù)雜性、加快了設(shè)計速度。對第三方EDA工具的良好支持也使用戶可以在設(shè)計流程的各個階段使用熟悉的第三方EDA 工具。此外,Quartus II 通過和DSP Builder

9、工具與Matlab/Simulink相結(jié)合,可以方便地實(shí)現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC開發(fā),集系統(tǒng)級設(shè)計、嵌入式軟件開發(fā)、可編程邏輯設(shè)計于一體,是一種綜合性的開發(fā)平臺。Maxplus II 作為Altera的上一代PLD設(shè)計軟件,由于其出色的易用性而得到了廣泛的應(yīng)用。目前Altera已經(jīng)停止了對Maxplus II 的更新支持,Quartus II 與之相比不僅僅是支持器件類型的豐富和圖形界面的改變。Altera在Quartus II 中包含了許多諸如SignalTap II、Chip Editor和RTL Viewer的設(shè)計輔助工具,集成了SOPC和Hard

10、Copy設(shè)計流程,并且繼承了Maxplus II 友好的圖形界面及簡便的使用方法。Altera Quartus II 作為一種可編程邏輯的設(shè)計環(huán)境, 由于其強(qiáng)大的設(shè)計能力和直觀易用的接口,越來越受到數(shù)字系統(tǒng)設(shè)計者的歡迎。2 四層電梯控制器的具體設(shè)計2.1設(shè)計目的本次設(shè)計的目的就是希望可以通過這一次的實(shí)踐從而更加深入的了解EDA技術(shù)并且掌握VHDL硬件描述語言的的設(shè)計方法及設(shè)計思想。通過學(xué)習(xí)的VHDL語言結(jié)合電子電路的設(shè)計知識理論聯(lián)系實(shí)際,掌握所學(xué)的課程知識和基本單元電路的綜合設(shè)計應(yīng)用。通過對實(shí)用電梯控制系統(tǒng)的設(shè)計,鞏固和綜合運(yùn)用所學(xué)知識,提高設(shè)計能力,提高分析、解決問題的獨(dú)立工作能力。2.2設(shè)

11、計要求四層電梯控制器的功能如下:(1 每層電梯入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有顧客到達(dá)層次的停站請求開關(guān)。(2設(shè)有電梯入口處位置指示裝置及電梯運(yùn)行模式(上升或下降指示裝置。(3電梯每秒上升(下降一層樓。(4電梯到達(dá)有停站請求的樓層,經(jīng)過1秒電梯門打開,開門指示燈亮,開門4秒后,電梯門關(guān)閉(開門指示燈滅,電梯繼續(xù)進(jìn)行,直至執(zhí)行完最后一個請求信號停留在當(dāng)前層。(5能記憶電梯內(nèi)外所有請求,并按照電梯運(yùn)行規(guī)則按順序響應(yīng),每個請求信號保留至執(zhí)行后消除。(6電梯運(yùn)行規(guī)則:當(dāng)電梯處于上升模式時,只響應(yīng)比電梯所在位置的上樓請求信號,由下而上逐個執(zhí)行,直到最后一個上樓請求執(zhí)行完畢;如果高層有下樓請求,則直接升

12、到由下樓請求的最高樓層,然后進(jìn)入下降模式。當(dāng)電梯處于下降模式則與上升模式相反。(7電梯初始狀態(tài)為一層開門狀態(tài)通過對電梯控制系統(tǒng)的設(shè)計,掌握所學(xué)EDA課程的基本知識和對VHDL語言的綜合設(shè)計應(yīng)用。通過課程設(shè)計,提高設(shè)計能力,提高分析解決實(shí)際問題的能力,并在設(shè)計中了解硬件編程的流程和思路,為以后工作和發(fā)揮技術(shù)打下基礎(chǔ)。2.3設(shè)計思路本次設(shè)計的電梯控制器采用狀態(tài)機(jī)來實(shí)現(xiàn),由于電梯又是每秒上升或下降一層所以就通過一個周期為一秒的時鐘來觸發(fā)這個狀態(tài)機(jī)。再考慮到電梯的實(shí)際工作狀態(tài)可以把狀態(tài)機(jī)設(shè)置成10個狀態(tài),可以把狀態(tài)機(jī)設(shè)置10個狀態(tài),分別是“電梯停留在第1層”、“開門”、“關(guān)門”、“開門等待第1秒”、“

13、開門等待第2秒”、“開門等待第3秒”、“開門等待第4秒”、“上升”、“下降”和“停止?fàn)顟B(tài)”。各個狀態(tài)之間的轉(zhuǎn)換條件可由上面的設(shè)計要求所決定3四層電梯控制器的綜合設(shè)計(VHDL 語言實(shí)現(xiàn)3.1分析判斷(1請求信號分析:電梯的請求信號可分為梯內(nèi)請求和梯外請求,如果從這個角度就很難去進(jìn)行對電梯運(yùn)行可能情況的分析,因為電梯的運(yùn)行是根據(jù)梯外和梯內(nèi)的請求信號、行程信號進(jìn)行控制,而梯外和梯內(nèi)的請求是隨機(jī)且不能以有限的規(guī)則去對其進(jìn)行說明的。因此,很難對電梯的運(yùn)行情況作出一個統(tǒng)一的分析。出于這方面的考慮,本設(shè)計把電梯的請求信號劃分為上升請求和下降請求。電梯接收到請求信號后,響應(yīng)并判斷是上升請求信號就是下降請求信

14、號,然后電梯向下或向上運(yùn)行。具體來說,就是當(dāng)電梯所在樓層低于發(fā)出梯外請求的樓層或者低于梯內(nèi)請求所要到達(dá)的目的樓層時,電梯必須在下一操作中作出上升運(yùn)行。這時的請求信號就是上升請求信號。反之,則是下降請求信號。如電梯在二層并上一次電梯運(yùn)行是向上或是向下,接收到的是上升請求還是下降請求。(2電梯處于各樓層時的運(yùn)行情況。1.處于一層時,不管是梯內(nèi)梯外,電梯都只能接收上升的請求信號。此后,電梯就進(jìn)入上升狀態(tài),作上升運(yùn)行。如果電梯沒有接收到請求信號,則電梯在一層待機(jī),其分析圖如圖2所示。 在一層上升一層待機(jī)上升請求信號無請求信號圖2 電梯處于一層時的運(yùn)行情況分析2.處于二層時,電梯則可能出現(xiàn)以下三種情況:

15、 電梯沒有接收到梯內(nèi)梯外的任何請求信號時,則電梯在二層待機(jī); 電梯接收到上升請求信號,進(jìn)入上升狀態(tài); 電梯接收到下降請求信號,進(jìn)入下降狀態(tài),其分析圖如圖3所示。在二層上升下降二層待機(jī)上升請求信號無請求信號下降請求信號圖3 電梯處于二層時的運(yùn)行情況分析3.處于三層時,不管是梯內(nèi)梯外,電梯都只能接收到下降的請求信號。此時,電梯就進(jìn)入下降狀態(tài),作下降運(yùn)行。如果電梯沒有接收到請求信號,則電梯在三層待機(jī),其分析圖如圖4所示。在三層下降三層待機(jī)下降請求信號無請求信號圖4 電梯處于三層時的運(yùn)行可能分析對上述電梯的運(yùn)行情況進(jìn)行匯總,可以得到如圖5的電梯運(yùn)行狀態(tài)圖。 一層一層待機(jī)上升二層下降上升三層下降下降請求

16、信號上升請求信號上升請求信號下降請求信號無請求信號二層待機(jī)無請求信號三層待機(jī)無請求信號圖5 電梯運(yùn)行狀態(tài)圖在電梯運(yùn)行過程中,存在一個如何處理請求信號優(yōu)先的問題。僅用順序優(yōu)先或邏輯優(yōu)先不能滿足控制要求。因此,控制系統(tǒng)需要同時采用順序和邏輯來控制電梯的運(yùn)行。即在以順序邏輯控制實(shí)現(xiàn)電梯的基本控制要求的基礎(chǔ)上,根據(jù)隨機(jī)的輸入信號,以及電梯當(dāng)時運(yùn)行狀態(tài)(上升還是下降適時的控制電梯下一個操作,使電梯向上或向下運(yùn)行。例如,當(dāng)電梯在三層時,二層、一層梯外均有上升請求信號:電梯向下運(yùn)行,在接近二層時判別二層具有同向的請求信號,如沒有繼續(xù)下降到一層,經(jīng)開關(guān)門后,然后再向上運(yùn)行,在接近二層時判別二層具有同向的請求信

17、號時,在二層停止載客。又假如,當(dāng)電梯在三層時,梯內(nèi)有到達(dá)一層的下降請求信號,而二層則有上升請求信號,電梯先向下運(yùn)行,在接近二層時判別二層具有反向的請求信號,系統(tǒng)將把二層的上升請求保存到上升請求寄存器上,不在二層作停泊而繼續(xù)下降到一層去載客,然后讀取上升請求寄存中先前二層的上升請求,執(zhí)行上升到二層載客的操作。執(zhí)行完成后,被保存在寄存器中的數(shù)據(jù)清除,為下一次響應(yīng)請求作準(zhǔn)備。(3對電梯開門、關(guān)門等內(nèi)部信號處理的分析,其流程如圖6所示。接到樓層請求信號電梯運(yùn)行到該樓層開門使能信號關(guān)門延時信號倒數(shù)接受并處理請求信號電梯進(jìn)入運(yùn)行狀態(tài)立即關(guān)門立即關(guān)門請求圖6 電梯內(nèi)外信號處理當(dāng)電梯接收到請求信號后,運(yùn)行到發(fā)

18、出請求的樓層。運(yùn)達(dá)后,開門使能信號促使電梯開門載客,同時驅(qū)動關(guān)門延時信號。在電梯進(jìn)行完關(guān)門倒數(shù)計后,關(guān)門使能信號將促使電梯關(guān)門狀態(tài),然后上升或下降運(yùn)行。如果此前出現(xiàn)提前關(guān)門信號,電梯立即關(guān)門,然后上升或下降運(yùn)行。電梯的運(yùn)行規(guī)則確定后,需對整個控制程序的設(shè)計作一個流程規(guī)范。對程序進(jìn)行模塊化構(gòu)思。根據(jù)VHDL語言的規(guī)則,程序必須由最基本的實(shí)體和結(jié)構(gòu)體構(gòu)成。實(shí)體對控制器的端口進(jìn)行定義,結(jié)構(gòu)體對各端口的行為進(jìn)行描述。因此程序運(yùn)行時需經(jīng)過以下流程:VHDL庫調(diào)用;確立控制器的端口及相關(guān)的寄存器;根據(jù)電梯運(yùn)行規(guī)則確定各個狀態(tài)轉(zhuǎn)換的條件;對電梯內(nèi)外信號進(jìn)行處理,具體流程圖如圖7所示。程序開始VHDL庫調(diào)用設(shè)

19、置控制器的端口設(shè)置相關(guān)的寄存器各狀態(tài)轉(zhuǎn)換條件描述電梯信號處理程序結(jié)束圖7 電梯設(shè)計流程圖3.2程序端口和寄存器說明(1由功能要求得到本程序設(shè)計的端口必須包括:時鐘信號(clk;提前關(guān)門信號(quick;一樓電梯外人的上升請求信號(up1、二樓電梯外人的上升請求信號(up2;二樓電梯外人的下降請求信號(down2、三樓電梯外人的下降請求信號(down3;電梯內(nèi)人請求到達(dá)一樓信號(ting1、電梯內(nèi)人請求到達(dá)二樓信號(ting2、電梯內(nèi)人請求到達(dá)三樓信號(ting3;電梯開門控制信號(opendoor;電梯關(guān)門控制信號(closedoor;電梯所在樓層顯示(current;電梯向上運(yùn)行控制及顯示信

20、號up、電梯向下運(yùn)行控制及顯示信號(down。(2由相關(guān)端口得到程序要求的寄存器包括:電梯內(nèi)人請求到達(dá)一樓信號寄存ting(1、電梯內(nèi)人請求到達(dá)二樓信號寄存ting(2、電梯內(nèi)人請求到達(dá)三樓信號寄存ting(3;一樓電梯外人上升請求信號寄存u(1、二樓電梯外人上升請求信號寄存u(2;二樓電梯外人下降請求信號寄存d(2、三樓電梯外人下降請求信號寄存d(3。3.3各模塊設(shè)計說明本程序由三個基本模塊組成,包括調(diào)用VHDL庫模塊、實(shí)體設(shè)計模塊和結(jié)構(gòu)體設(shè)計模塊。而在結(jié)構(gòu)體模塊中又內(nèi)嵌有進(jìn)程執(zhí)行單元。(1調(diào)用VHDL庫通過library語句,本程序應(yīng)用了VHDL庫中的“通用ieee庫”和“標(biāo)準(zhǔn)std庫”。

21、library ieee;(2entity實(shí)體設(shè)計模塊entity dianti isport (end dianti;實(shí)體語句用關(guān)鍵詞entity開頭,本程序以dianti(電梯的漢語拼音作為所要描述的實(shí)體名,在結(jié)束實(shí)體語句的時候使用end dianti。在entity語句的實(shí)體說明部分,用port(語句描述實(shí)體對外界連接的端口(數(shù)目、方向和數(shù)據(jù)類型,輸入模式是clk/up1/up2/down2/down3/quick /ting1/ting2/ting3;其余的都是輸出模式,其中current 是四位矢量數(shù)據(jù)的輸出接口。(3architecture結(jié)構(gòu)化設(shè)計模塊和process進(jìn)程執(zhí)行單元

22、實(shí)體dianti以結(jié)構(gòu)化與行為化描述的結(jié)構(gòu)體如下:architecture dianti of dianti isbeginend dianti;由于篇幅的關(guān)系,結(jié)構(gòu)體部分并不能盡錄。本模塊以關(guān)鍵字architecture做結(jié)構(gòu)體的開頭,描述dianti實(shí)體的結(jié)構(gòu)體dianti。architecture和begin之間是結(jié)構(gòu)體說明區(qū),列舉了電梯運(yùn)行的各個狀態(tài);begin和end之間是結(jié)構(gòu)體語句區(qū),在此將嵌入process進(jìn)程語句去對實(shí)體行為作出說明。process(clkbegincase when isend case;end process;關(guān)鍵字process后面括號中的信號為時鐘信號,

23、它列舉可激活進(jìn)程語句的信號,唯有這些信號上的事件能引起進(jìn)程語句執(zhí)行。關(guān)鍵字begin把進(jìn)程語句分為進(jìn)程說明和進(jìn)程語句兩部分。進(jìn)程說明在時鐘信號和begin之間,說明局部變量或某些僅在進(jìn)程內(nèi)部用的內(nèi)容。進(jìn)程語句部分在begin和end process之間,進(jìn)程內(nèi)的所有語句都是按順序一個接一個執(zhí)行的。其中的case語句是電梯七個運(yùn)行狀態(tài)的選擇。下載程序成功后,電梯處于c1,即第一層。c1:處于第一層時,先令f1=0、f2=0、f3=0,down=0,up=0。表明電梯初始狀態(tài)是待機(jī)模式。若u(1=1 或者ting(1=1即第一層的任何請求都應(yīng)該開門,因為已經(jīng)到了第一層應(yīng)該優(yōu)先響應(yīng)開門,進(jìn)入time

24、4開門狀態(tài)并且使opendoor=1,否則若其它層有請求(u(2、u(3、d(2、ting(2、ting(3中至少有一個值為1,則進(jìn)入運(yùn)行狀態(tài)time3,并使f1=1,up=1。如在到達(dá)第一層經(jīng)開關(guān)門后如沒有任何請求,則使標(biāo)志位f6=1,保持在第一層待機(jī)。c2 :處于第二層的時候需要根據(jù)當(dāng)前的電梯狀態(tài)來決定下一個狀態(tài)。從其它層到二樓時先使?fàn)顟B(tài)標(biāo)志f1=f2=f3=0,并且顯示當(dāng)前層是第二層,響應(yīng)請求后使?fàn)顟B(tài)標(biāo)志f2=1,表示是從第二層運(yùn)行到其它層的。優(yōu)先順序是:電梯上升時二樓門外有上升請求,電梯下降時二樓門外的下降請求,電梯下降時電梯內(nèi)一樓還沒響應(yīng)的停請求,電梯上升時電梯內(nèi)三樓還沒有響應(yīng)的停請

25、求,上升時三樓門外的下降請求,下降時三樓門外的上升請求,若以上的都沒有則任何一個請求都響應(yīng)。進(jìn)入運(yùn)行狀態(tài)運(yùn)行到該層或響應(yīng)二樓的開門請求進(jìn)入進(jìn)入time4開門狀態(tài),并且上升時給up=1,down=0,下降時down=1,up=0。并輸出顯示電梯運(yùn)行狀態(tài)。如在到達(dá)第二層經(jīng)開關(guān)門后如沒有任何請求,則使標(biāo)志位f5=1,保持在第二層待機(jī)。c3 :在進(jìn)入c3時f1=f2=f3=0, down=0,up=0并且顯示當(dāng)前層是第三層,響應(yīng)請求后使?fàn)顟B(tài)標(biāo)志f3=1,表示是從第三層運(yùn)行到其它層的。每到第三層時都是優(yōu)先響應(yīng)三樓的下降請求進(jìn)入time4開門狀態(tài),而后進(jìn)入關(guān)門狀態(tài),再判斷其它層是否有梯外梯內(nèi)請求。否則只要

26、有一層二層的任意請求存在都進(jìn)入time3運(yùn)行狀態(tài)運(yùn)行到該層響應(yīng)請求。如在到達(dá)第三層經(jīng)開關(guān)門后如沒有任何請求,則使標(biāo)志位f4=1,保持在第二層待機(jī)。time1 :判斷是否保持在該層待機(jī)。time2 :關(guān)門狀態(tài)。判斷是否立即關(guān)門,如是,立即關(guān)門;否則延時關(guān)門。同時判斷是否進(jìn)入待機(jī)狀態(tài)。如是,進(jìn)入待機(jī)狀態(tài)保持在該層;否則進(jìn)入運(yùn)行狀態(tài)。time3 :運(yùn)行狀態(tài)。計數(shù)完成后,如果f1=1則進(jìn)入c2,如果f3=1則進(jìn)入c2。如果f2=1,是有優(yōu)先響應(yīng)順序的:上升時則優(yōu)先響應(yīng)電梯內(nèi)還沒有響應(yīng)的停ting(3=1請求,進(jìn)入c3,下降時優(yōu)先響應(yīng)電梯內(nèi)還沒有響應(yīng)停ting(1=1請求,經(jīng)進(jìn)入c1,上升時三樓門外有下

27、降請求,進(jìn)入c3,下降時一樓門外有上升請求,進(jìn)入c1,剩下的請求只要有請求則響應(yīng)(f1、f2、f3是不可能同時為1的,這樣就保證了優(yōu)先原則。time4 :開門狀態(tài)。只有開門狀態(tài)計數(shù)時間到才進(jìn)入關(guān)門狀態(tài)time2。3.4具體語句設(shè)計說明上文已說明了構(gòu)成VHDL程序的兩大部分實(shí)體和結(jié)構(gòu)體的相關(guān)語句。在這些語句里,賦值語句占了相當(dāng)一部分。在VHDL語言里,對變量的賦值一般都是用“:=”符號,對輸出信號賦值一般都是用“ if f4=1 then state:=c3;elsif f5=1 then state:=c2;elsif f6=1 then state:=c1;end if;when time2

28、 = if kuai=1 then js:=3; kuai:=0;-kuai=1提前關(guān)門-關(guān)門控制end if;if js=2 then js:=0;closedoor=0;if f4=1 or f5=1 or f6=1then state:=time1;else state:=time3;end if;else js:=js+1;opendoor=2 then closedoor -從一層到另一層的時間if js3 then js:=js+1;-從一層到另一層的時間else js:=0;if f1=1 then state:=c2;elsif f2=1 thenif up=1 and tin

29、g(3=1 then state:=c3;elsif down=1 and ting(1=1 then state:=c1;elsif up=1 and d(3=1 then state:=c3;elsif down=1 and u(1=1 then state:=c1;elsif u(1=1 or ting(1=1 then state:=c1; DOWN=1;elsif d(3=1 or ting(3=1 then state:=c3; UP closedoor=0;opendoor current=0001; f1:=0;f2:=0; f3:=0; down=0;up=0;if (u(1

30、=1 and u(2=0 and ting(2=0 and ting(3=0 and d(3=0 and d(2=0 then f6:=1;u(1:=0;state:=time4;-優(yōu)先判斷第三層梯內(nèi)外信號,如有進(jìn)入開門狀態(tài)elsif (ting(1=1 and u(2=0 and ting(2=0 and ting(3=0 and d(3=0 and d(2=0then f6:=1;ting(1:=0;state:=time4;-優(yōu)先判斷第三層梯內(nèi)外信號,如有進(jìn)入開門狀態(tài)elsif u(1=1 or ting(1=1 then f1:=1;f6:=0; u(1:=0; ting(1:=0;

31、state:=time4;-優(yōu)先判斷第一層梯內(nèi)外信號,如有進(jìn)入開門狀態(tài)elsif u(2=1 or ting(2=1 or d(3=1 or ting(3=1 or d(2=1then f1:=1;f6:=0;up current=0010; f1:=0; f2:=0; f3:=0; if d(2=1 and u(1=0 and ting(1=0 and ting(3=0 and d(3=0 f5:=1;d(2:=0;state:=time4;-優(yōu)先判斷第三層梯內(nèi)外信號,如有進(jìn)入開門狀態(tài) elsif u(2=1 and u(1=0 and ting(1=0 and ting(3=0 and d

32、(3=0 f5:=1;u(2:=0;state:=time4;-優(yōu)先判斷第三層梯內(nèi)外信號,如有進(jìn)入開門狀態(tài) elsif ting(2=1 and u(1=0 and ting(1=0 and ting(3=0 and d(3=0 then f5:=1;ting(2:=0;state:=time4;-優(yōu)先判斷第三層梯內(nèi)外信號,如有進(jìn)入開門狀態(tài) elsif u(2=1 and up=1 then u(2:=0; down=0;f2:=1;f5:=0; then then state:=time4;-到達(dá)第二層,處于上升狀態(tài),有上升請求,響應(yīng)并轉(zhuǎn)開門狀態(tài) elsif d(2=1 and down=1 th

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論