畢業(yè)設(shè)計(jì)基于單片機(jī)的數(shù)字式頻率檢測(cè)裝置設(shè)計(jì)_第1頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的數(shù)字式頻率檢測(cè)裝置設(shè)計(jì)_第2頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的數(shù)字式頻率檢測(cè)裝置設(shè)計(jì)_第3頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的數(shù)字式頻率檢測(cè)裝置設(shè)計(jì)_第4頁
畢業(yè)設(shè)計(jì)基于單片機(jī)的數(shù)字式頻率檢測(cè)裝置設(shè)計(jì)_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、畢業(yè)論文(設(shè)計(jì)) 二00八 年 四 月 二十四日基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)【摘要】 本設(shè)計(jì)以AT89S52單片機(jī)為核心充分利用硬件資源設(shè)計(jì)的一種頻率計(jì),該頻率計(jì)首先將被測(cè)信號(hào)放大整形處理,變成滿足單片機(jī)I/O口接受的TTL/ CMOS 兼容信號(hào)從單片機(jī)的T1輸入口輸入直接累加脈沖數(shù),將單片機(jī)內(nèi)部定時(shí)器定時(shí)為1S,這時(shí)累加的脈沖數(shù)即為被測(cè)信號(hào)的頻率。最后經(jīng)單片機(jī)處理送至lcd液晶顯示屏顯示?!娟P(guān)鍵字】 單片機(jī)(AT89S52)、放大整形、 數(shù)據(jù)處理、1602aLCD、【Abstract】This design take at89S52 monolithic integrated circuit

2、 as the core full use hardware source design's one kind of frequency meter, this frequency meter will be measured first that signal enlargement reshaping processing, turns satisfies TTL/which the monolithic integrated circuit I/O mouth accepts the CMOS compatible signal from monolithic integrate

3、d circuit's T1 input port input direct summation pulse number, the monolithic integrated circuit interior timer fixed time is 1S, by now accumulated the pulse number namely for is measured the signal the frequency. Finally passes through monolithic integrated circuit processing to deliver to the

4、 lcd liquid crystal display monitor demonstration.【Keyword】AT89S52、 Larger plastic、Data processing、1602aLCD目錄 引言41.系統(tǒng)概述51.1數(shù)字頻率計(jì)概述51.2頻率測(cè)量?jī)x的設(shè)計(jì)思路與頻率的計(jì)算 51.3基本設(shè)計(jì)原理 52.數(shù)字頻率計(jì)(低頻)的硬件結(jié)構(gòu)設(shè)計(jì)52.1 系統(tǒng)硬件的構(gòu)成 52.2 AT89S52單片機(jī)及其引腳說明 62.3 信號(hào)調(diào)理及放大整形模塊 7 2.3.1工作原理 8 信號(hào)放大仿真圖 8 信號(hào)轉(zhuǎn)換成方波 8 82.4 顯示模塊 9 1602ALCD與單片機(jī)的接法 9 103

5、.軟件設(shè)計(jì) 123.1 系統(tǒng)工作流程圖 1213 133.2 軟件工作原理 143.3 軟件處理方法 144.實(shí)驗(yàn)結(jié)果與分析 154.1實(shí)驗(yàn)數(shù)據(jù) 154.2實(shí)驗(yàn)結(jié)果分析 15結(jié)束語 15致謝詞 15參考文獻(xiàn) 15程序附錄 17引言頻率測(cè)量在科技研究和實(shí)際應(yīng)用中的作用日益重要。傳統(tǒng)的頻率計(jì)通采用組合電路和時(shí)序電路等大量的硬件電路構(gòu)成,產(chǎn)品不但體積較大,運(yùn)行速度慢,而且測(cè)量低頻信號(hào)時(shí)不宜直接使用。頻率信號(hào)抗干擾性強(qiáng)、易于傳輸 ,可以獲得較高的測(cè)量精度。同時(shí) ,頻率測(cè)量方法的優(yōu)化也越來越受到重視.并采用單片機(jī)和相關(guān)硬軟件實(shí)現(xiàn)。AT89S52系列單片機(jī)具有體積小,功能強(qiáng),性能價(jià)格比較高等特點(diǎn),因此被廣

6、泛應(yīng)用于工業(yè)控制和智能化儀器,儀表等領(lǐng)域。此頻率計(jì)以AT89S52單片機(jī)為核心,具有性能優(yōu)良,精度高,可靠性好等特點(diǎn)。以AT89S52單片機(jī)為控制器件的頻率測(cè)量方法,并用C語言進(jìn)行設(shè)計(jì),采用單片機(jī)智能控制,結(jié)合外圍電子電路。最終實(shí)現(xiàn)數(shù)字頻率計(jì)的設(shè)計(jì)方案,根據(jù)頻率計(jì)的特點(diǎn),可廣泛應(yīng)用于各種測(cè)試場(chǎng)所。在基礎(chǔ)理論和專業(yè)技術(shù)基礎(chǔ)上,通過對(duì)數(shù)字頻率計(jì)的設(shè)計(jì),用十進(jìn)制數(shù)字來顯示被測(cè)信號(hào)頻率的測(cè)量裝置。以精確迅速的特點(diǎn)測(cè)量信號(hào)頻率,在本設(shè)計(jì)在實(shí)踐理論上鍛煉提高了自己的綜合運(yùn)用知識(shí)水平,為以后的開發(fā)及科研工作打下基礎(chǔ)。1系統(tǒng)概述 1.1數(shù)字頻率計(jì)概述 數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺

7、少的測(cè)量?jī)x器。它是一種用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的數(shù)字測(cè)量?jī)x器。它的基本功能是測(cè)量正弦信號(hào),方波信號(hào)及其他各種單位時(shí)間內(nèi)變化的物理量。在進(jìn)行模擬、數(shù)字電路的設(shè)計(jì)、安裝、調(diào)試過程中,由于其使用十進(jìn)制數(shù)顯示,測(cè)量迅速,精確度高,顯示直觀,經(jīng)常要用到頻率計(jì)。 本數(shù)字頻率計(jì)將采用定時(shí)、計(jì)數(shù)的方法測(cè)量頻率,采用一個(gè)1602A LCD顯示器動(dòng)態(tài)顯示6位數(shù)。測(cè)量范圍從0Hz65535Hz(此測(cè)量范圍為計(jì)數(shù)器的最大計(jì)數(shù),可根據(jù)實(shí)際需要進(jìn)行擴(kuò)展,在1.3小節(jié)方案選擇有介紹如何擴(kuò)展)的正弦波、方波、三角波。用單片機(jī)實(shí)現(xiàn)自動(dòng)測(cè)量功能。1.2 基本設(shè)計(jì)原理 基本設(shè)計(jì)原理是直接用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的一種測(cè)量裝

8、置。它以測(cè)量頻率的方法直接對(duì)正弦波、方波、三角波的頻率進(jìn)行自動(dòng)的測(cè)量。所謂“頻率”,就是周期性信號(hào)在單位時(shí)間(1s)內(nèi)變化的次數(shù)。若在一定時(shí)間間隔T內(nèi)測(cè)得這個(gè)周期性信號(hào)的重復(fù)變化次數(shù)N,則其頻率可表示為f=N/T。其中脈沖形成電路的作用是將被測(cè)信號(hào)變成脈沖信號(hào),其重復(fù)頻率等于被測(cè)頻率f。利用單片機(jī)的內(nèi)部定時(shí)器作為定時(shí)時(shí)間周期,若其周期為1s,則輸入的脈沖信號(hào)持續(xù)計(jì)數(shù)時(shí)間亦準(zhǔn)確地等于1s,所計(jì)數(shù)的脈沖個(gè)數(shù)即為被測(cè)信號(hào)的頻率。11.3 方案選擇用單片機(jī)設(shè)計(jì)頻率計(jì)通常采用兩種辦法,第一種方法是使用單片機(jī)自帶的計(jì)數(shù)器對(duì)輸入脈沖進(jìn)行計(jì)數(shù);第二種方法是單片機(jī)外部使用計(jì)數(shù)器對(duì)脈沖信號(hào)進(jìn)行計(jì)數(shù),計(jì)數(shù)值再由單片

9、機(jī)讀取。第一種方法的好處是設(shè)計(jì)出的頻率計(jì)系統(tǒng)結(jié)構(gòu)和程序編寫簡(jiǎn)單,成本低廉,不需要外部計(jì)數(shù)器,直接利用所給的單片機(jī)最小系統(tǒng)就可以實(shí)現(xiàn)。這種方法的缺陷是受限于單片機(jī)計(jì)數(shù)的晶振頻率,輸入的時(shí)鐘頻率通常是單片機(jī)晶振頻率的幾分之一甚至是幾十分之一,在本次設(shè)計(jì)使用的98C51單片機(jī),由于檢測(cè)一個(gè)由“1”到“0”的跳變需要兩個(gè)機(jī)器周期,前一個(gè)機(jī)器周期測(cè)出“1”,后一個(gè)周期測(cè)出“0”。故輸入時(shí)鐘信號(hào)的最高頻率不得超過單片機(jī)晶振頻率的二十四分之一。第二種方法的好處是輸入的時(shí)鐘信號(hào)頻率可以不受單片機(jī)晶振頻率的限制,可以對(duì)相對(duì)較高頻率進(jìn)行測(cè)量,但缺點(diǎn)是成本比第一種方法高,設(shè)計(jì)出來的系統(tǒng)結(jié)構(gòu)和程序也比較復(fù)雜。2由于成

10、本有限,本次設(shè)計(jì)中采用第一種方法,因此輸入的時(shí)鐘信號(hào)最高頻率不得高于11.0592MHz/24=460.8KHz。對(duì)外部脈沖的占空比無特殊要求。根據(jù)頻率檢測(cè)的原理,很容易想到利用51單片機(jī)的T0、T1兩個(gè)定時(shí)/計(jì)數(shù)器,一個(gè)用來定時(shí),另一個(gè)用來計(jì)數(shù),兩者均應(yīng)該工作在中斷方式,一個(gè)中斷用于1s時(shí)間的中斷處理,一個(gè)中斷用于對(duì)頻率脈沖的計(jì)數(shù)溢出處理,(對(duì)另一個(gè)計(jì)數(shù)單元加一),此方法可以彌補(bǔ)計(jì)數(shù)器最多只能計(jì)數(shù)65536的不足。將計(jì)數(shù)中斷彌補(bǔ)計(jì)數(shù)器最高計(jì)數(shù)65536的不足作為本設(shè)計(jì)的擴(kuò)展,故本設(shè)計(jì)最終選擇采用第一種方法并且只使用計(jì)數(shù)器的最多計(jì)數(shù)65536。2數(shù)字頻率計(jì)(低頻)的硬件結(jié)構(gòu)設(shè)計(jì)2.1 系統(tǒng)硬件

11、的構(gòu)成本頻率計(jì)的數(shù)據(jù)采集系統(tǒng)主要元器件是單片機(jī)AT89S52,由它完成對(duì)待測(cè)信號(hào)頻率的計(jì)數(shù)、計(jì)數(shù)處理、結(jié)果顯示等功能,外部還要有信號(hào)處理、LCD顯示器等器件。具體可分為以下幾個(gè)模塊:放大整形模塊、單片機(jī)系統(tǒng)、LCD顯示模塊。各模塊關(guān)系圖如圖2所示:3LCD顯示基于51單片機(jī)的數(shù)字頻率計(jì)日期:2008-01-11來源:東哥單片機(jī)學(xué)習(xí)網(wǎng)() 作者:佚名字體:大 中 小 題 目: 基于51單片機(jī)的數(shù)字頻率計(jì) 專 業(yè): 計(jì)算機(jī)科學(xué)與技術(shù)(專升本) 班 級(jí): 056計(jì)算機(jī)科學(xué)與技術(shù) 姓 名: 蔡永 學(xué)號(hào): 05191131 指導(dǎo)老師: 余水寶 成 績(jī): ( 2006.6 ) 目 錄 第1節(jié) 引言 21.

12、1 數(shù)字頻率計(jì)概述21.2 頻率測(cè)量?jī)x的設(shè)計(jì)思路與頻率的計(jì)算21.3 基本設(shè)計(jì)原理3第2節(jié) 數(shù)字頻率計(jì)(低頻)的硬件結(jié)構(gòu)設(shè)計(jì)4 2.1系統(tǒng)硬件的構(gòu)成42.2系統(tǒng)工作原理圖42.3AT89C51單片機(jī)及其引腳說明 52.4信號(hào)調(diào)理及放大整形模塊72.5時(shí)基信號(hào)產(chǎn)生電路72.6顯示模塊8第3節(jié) 軟件設(shè)計(jì)123.1 定時(shí)計(jì)數(shù) 123.2 量程轉(zhuǎn)換 123.3 BCD轉(zhuǎn)換123.4 LCD顯示12第4節(jié) 結(jié)束語 13參考文獻(xiàn) 14附錄 匯編源程序代碼15 基于51單片機(jī)的數(shù)字頻率計(jì)數(shù)理與信息工程學(xué)院 計(jì)算機(jī)專升本 056班 蔡永指導(dǎo)老師 余水寶 第1節(jié) 引言本應(yīng)用系統(tǒng)設(shè)計(jì)的目的是通過在“單片機(jī)原理及應(yīng)

13、用”課堂上學(xué)習(xí)的知識(shí),以及查閱資料,培養(yǎng)一種自學(xué)的能力。并且引導(dǎo)一種創(chuàng)新的思維,把學(xué)到的知識(shí)應(yīng)用到日常生活當(dāng)中。在設(shè)計(jì)的過程中,不斷的學(xué)習(xí),思考和同學(xué)間的相互討論,運(yùn)用科學(xué)的分析問題的方法解決遇到的困難,掌握單片機(jī)系統(tǒng)一般的開發(fā)流程,學(xué)會(huì)對(duì)常見問題的處理方法,積累設(shè)計(jì)系統(tǒng)的經(jīng)驗(yàn),充分發(fā)揮教學(xué)與實(shí)踐的結(jié)合。全能提高個(gè)人系統(tǒng)開發(fā)的綜合能力,開拓了思維,為今后能在相應(yīng)工作崗位上的工作打下了堅(jiān)實(shí)的基礎(chǔ)。 1.1數(shù)字頻率計(jì)概述數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測(cè)量?jī)x器。它是一種用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的數(shù)字測(cè)量?jī)x器。它的基本功能是測(cè)量正弦信號(hào),方波信號(hào)及其他各種單位時(shí)間內(nèi)

14、變化的物理量。在進(jìn)行模擬、數(shù)字電路的設(shè)計(jì)、安裝、調(diào)試過程中,由于其使用十進(jìn)制數(shù)顯示,測(cè)量迅速,精確度高,顯示直觀,經(jīng)常要用到頻率計(jì)。 本數(shù)字頻率計(jì)將采用定時(shí)、計(jì)數(shù)的方法測(cè)量頻率,采用一個(gè)1602A LCD顯示器動(dòng)態(tài)顯示6位數(shù)。測(cè)量范圍從1Hz10kHz的正弦波、方波、三角波,時(shí)基寬度為1us,10us,100us,1ms。用單片機(jī)實(shí)現(xiàn)自動(dòng)測(cè)量功能?;驹O(shè)計(jì)原理是直接用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的一種測(cè)量裝置。它以測(cè)量周期的方法對(duì)正弦波、方波、三角波的頻率進(jìn)行自動(dòng)的測(cè)量。1.2頻率測(cè)量?jī)x的設(shè)計(jì)思路與頻率的計(jì)算 1.2頻率測(cè)量?jī)x的設(shè)計(jì)思路與頻率的計(jì)算頻率測(cè)量?jī)x的設(shè)計(jì)思路主要是:對(duì)信號(hào)分頻,測(cè)量一個(gè)

15、或幾個(gè)被測(cè)量信號(hào)周期中已知標(biāo)準(zhǔn)頻率信號(hào)的周期個(gè)數(shù),進(jìn)而測(cè)量出該信號(hào)頻率的大小,其原理如右圖1所示。若被測(cè)量信號(hào)的周期為,分頻數(shù)m1,分頻后信號(hào)的周期為T,則:T=m1Tx 。由圖可知: T=NTo (注:To為標(biāo)準(zhǔn)信號(hào)的周期,所以T為分頻后信號(hào)的周期,則可以算出被測(cè)量信號(hào)的頻率f。)由于單片機(jī)系統(tǒng)的標(biāo)準(zhǔn)頻率比較穩(wěn)定,而是系統(tǒng)標(biāo)準(zhǔn)信號(hào)頻率的誤差,通常情況下很?。欢到y(tǒng)的量化誤差小于1,所以由式T=NTo可知,頻率測(cè)量的誤差主要取決于N值的大小,N值越大,誤差越小,測(cè)量的精度越高。 1.3 基本設(shè)計(jì)原理 基本設(shè)計(jì)原理是直接用十進(jìn)制數(shù)字顯示被測(cè)信號(hào)頻率的一種測(cè)量裝置。它以測(cè)量周期的方法對(duì)正弦波、方波

16、、三角波的頻率進(jìn)行自動(dòng)的測(cè)量。所謂“頻率”,就是周期性信號(hào)在單位時(shí)間(1s)內(nèi)變化的次數(shù)。若在一定時(shí)間間隔T內(nèi)測(cè)得這個(gè)周期性信號(hào)的重復(fù)變化次數(shù)N,則其頻率可表示為f=N/T。其中脈沖形成電路的作用是將被測(cè)信號(hào)變成脈沖信號(hào),其重復(fù)頻率等于被測(cè)頻率fx。時(shí)間基準(zhǔn)信號(hào)發(fā)生器提供標(biāo)準(zhǔn)的時(shí)間脈沖信號(hào),若其周期為1s,則門控電路的輸出信號(hào)持續(xù)時(shí)間亦準(zhǔn)確地等于1s。閘門電路由標(biāo)準(zhǔn)秒信號(hào)進(jìn)行控制,當(dāng)秒信號(hào)來到時(shí),閘門開通,被測(cè)脈沖信號(hào)通過閘門送到計(jì)數(shù)譯碼顯示電路。秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,計(jì)數(shù)器停止計(jì)數(shù)。由于計(jì)數(shù)器計(jì)得的脈沖數(shù)N是在1秒時(shí)間內(nèi)的累計(jì)數(shù),所以被測(cè)頻率fx=NHz。 第2節(jié)數(shù)字頻率計(jì)(低頻)的硬件結(jié)構(gòu)

17、設(shè)計(jì) 2.1 系統(tǒng)硬件的構(gòu)成 本頻率計(jì)的數(shù)據(jù)采集系統(tǒng)主要元器件是單片機(jī)AT89C51,由它完成對(duì)待測(cè)信號(hào)頻率的計(jì)數(shù)和結(jié)果顯示等功能,外部還要有分頻器、顯示器等器件??煞譃橐韵聨讉€(gè)模塊:放大整形模塊、秒脈沖產(chǎn)生模塊、換檔模擬轉(zhuǎn)換模塊、單片機(jī)系統(tǒng)、LCD顯示模塊。各模塊關(guān)系圖如圖2所示: 2.2 系統(tǒng)工作原理圖 該系統(tǒng)工作的總原理圖如圖3所示: 圖3 數(shù)字頻率計(jì)系統(tǒng)工作原理圖2.3 AT89C51單片機(jī)及其引腳說明89C51是一種高性能低功耗的采用CMOS工藝制造的8位微控制器,它提供下列標(biāo)準(zhǔn)特征:4K字節(jié)的程序存儲(chǔ)器,128字節(jié)的RAM,32條I/O線,2個(gè)16位定時(shí)器/計(jì)數(shù)器, 一個(gè)5中斷源兩

18、個(gè)優(yōu)先級(jí)的中斷結(jié)構(gòu),一個(gè)雙工的串行口, 片上震蕩器和時(shí)鐘電路。引腳說明:·VCC:電源電壓·GND:地·P0口:P0口是一組8位漏極開路型雙向I/O口,作為輸出口用時(shí),每個(gè)引腳能驅(qū)動(dòng)8個(gè)TTL邏輯門電路。當(dāng)對(duì)0端口寫入1時(shí),可以作為高阻抗輸入端使用。當(dāng)P0口訪問外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),它還可設(shè)定成地址數(shù)據(jù)總線復(fù)用的形式。在這種模式下,P0口具有內(nèi)部上拉電阻。在EPROM編程時(shí),P0口接收指令字節(jié),同時(shí)輸出指令字節(jié)在程序校驗(yàn)時(shí)。程序校驗(yàn)時(shí)需要外接上拉電阻。·P1口:P1口是一帶有內(nèi)部上拉電阻的8位雙向I/O口。P1口的輸出緩沖能接受或輸出4個(gè)TTL邏

19、輯門電路。當(dāng)對(duì)P1口寫1時(shí),它們被內(nèi)部的上拉電阻拉升為高電平,此時(shí)可以作為輸入端使用。當(dāng)作為輸入端使用時(shí),P1口因?yàn)閮?nèi)部存在上拉電阻,所以當(dāng)外部被拉低時(shí)會(huì)輸出一個(gè)低電流(IIL)。·P2口:P2是一帶有內(nèi)部上拉電阻的8位雙向的I/O端口。P2口的輸出緩沖能驅(qū)動(dòng)4個(gè)TTL邏輯門電路。當(dāng)向P2口寫1時(shí),通過內(nèi)部上拉電阻把端口拉到高電平,此時(shí)可以用作輸入口。作為輸入口,因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出電流(IIL)。P2口在訪問外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ)器(例如MOVX DPTR)時(shí),P2口送出高8位地址數(shù)據(jù)。在這種情況下,P2口使用強(qiáng)大的內(nèi)部上拉電阻功能

20、當(dāng)輸出1時(shí)。當(dāng)利用8位地址線訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)(例MOVX R1),P2口輸出特殊功能寄存器的內(nèi)容。當(dāng)EPROM編程或校驗(yàn)時(shí),P2口同時(shí)接收高8位地址和一些控制信號(hào)。·P3口:P3是一帶有內(nèi)部上拉電阻的8位雙向的I/O端口。P3口的輸出緩沖能驅(qū)動(dòng)4個(gè)TTL邏輯門電路。當(dāng)向P3口寫1時(shí),通過內(nèi)部上拉電阻把端口拉到高電平,此時(shí)可以用作輸入口。作為輸入口,因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出電流(IIL)。P3口同時(shí)具有AT89C51的多種特殊功能,具體如下表1所示:端口引腳第二功能P3.0RXD (串行輸入口)P3.1TXD(串行輸出口)P3.2 (外部中斷0)P3.3

21、(外部中斷1)P3.4T0(定時(shí)器0)P3.5T1(定時(shí)器1)P3.6(外部數(shù)據(jù)存儲(chǔ)器寫選通)P3.7(外部數(shù)據(jù)存儲(chǔ)器都選通)表1 P3口的第二功能 ·RST:復(fù)位輸入。當(dāng)振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期的高電平將使單片機(jī)復(fù)位。·ALE/ :當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許是一輸出脈沖,用以鎖存地址的低8位字節(jié)。當(dāng)在Flash編程時(shí)還可以作為編程脈沖輸出( )。一般情況下,ALE是以晶振頻率的1/6輸出,可以用作外部時(shí)鐘或定時(shí)目的。但也要注意,每當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過一個(gè)ALE脈沖。· :程序存儲(chǔ)允許時(shí)外部程序存儲(chǔ)器的讀選通信號(hào)。當(dāng)AT89C52執(zhí)行

22、外部程序存儲(chǔ)器的指令時(shí),每個(gè)機(jī)器周期 兩次有效,除了當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí), 將跳過兩個(gè)信號(hào)。· /VPP:外部訪問允許。為了使單片機(jī)能夠有效的傳送外部數(shù)據(jù)存儲(chǔ)器從0000H到FFFH單元的指令, 必須同GND相連接。需要主要的是,如果加密位1被編程,復(fù)位時(shí)EA端會(huì)自動(dòng)內(nèi)部鎖存。當(dāng)執(zhí)行內(nèi)部編程指令時(shí), 應(yīng)該接到VCC端。·XTAL1:振蕩器反相放大器以及內(nèi)部時(shí)鐘電路的輸入端。·XTAL2:振蕩器反相放大器的輸出端。在本次設(shè)計(jì)中,采用89C51作為CPU處理器,充分利用其硬件資源,結(jié)合D觸發(fā)器CD4013,分頻器CD4060,模擬轉(zhuǎn)換開關(guān)CD4051,計(jì)數(shù)器74LS

23、90等數(shù)字處理芯片,主要控制兩大硬件模塊,量程切換以及顯示模塊。下面還將詳細(xì)說明。2.4 信號(hào)調(diào)理及放大整形模塊 放大整形系統(tǒng)包括衰減器、跟隨器、放大器、施密特觸發(fā)器。它將正弦輸入信號(hào)Vx整形成同頻率方波Vo,幅值過大的被測(cè)信號(hào)經(jīng)過分壓器分壓送入后級(jí)放大器,以避免波形失真。由運(yùn)算放大器構(gòu)成的射級(jí)跟隨器起阻抗變換作用,使輸入阻抗提高。同相輸入的運(yùn)算放大器的放大倍數(shù)為(R1+R2)/R1,改變R1的大小可以改變放大倍數(shù)。系統(tǒng)的整形電路由施密特觸發(fā)器組成,整形后的方波送到閘門以便計(jì)數(shù)。由于輸入的信號(hào)幅度是不確定、可能很大也有可能很小,這樣對(duì)于輸入信號(hào)的測(cè)量就不方便了,過大可能會(huì)把器件燒毀,過小可能器

24、件檢測(cè)不到,所以在設(shè)計(jì)中采用了這個(gè)信號(hào)調(diào)理電路對(duì)輸入的波形進(jìn)行阻抗變換、放大限幅和整形,信號(hào)調(diào)理部分電路具體實(shí)現(xiàn)電路原理圖和參數(shù)如下圖4所示: 2.5 時(shí)基信號(hào)產(chǎn)生電路:CD4013-雙上升沿D觸發(fā)器 ,引腳及功能見如下圖5:CD4013 由兩個(gè)相同的、相互獨(dú)立的數(shù)據(jù)型觸發(fā)器構(gòu)成。每個(gè)觸發(fā)器有獨(dú)立的數(shù)據(jù)置位復(fù)位時(shí)鐘輸入和 Q及Q非輸出。此器件可用作移位寄存器,且通過將Q非輸出連接到數(shù)據(jù)輸入,可用作計(jì)數(shù)器和觸發(fā)器。在時(shí)鐘上升沿觸發(fā)時(shí),加在D 輸入端的邏輯電平傳送到Q輸出端。置位和復(fù)位或復(fù)位線上的高電平完成。 圖5 CD4013芯片引腳用功能圖 CD4060-14位二進(jìn)制串行計(jì)數(shù)器,引腳及功能見如

25、下圖6: CD4060 由一震蕩器和14極二進(jìn)制串行計(jì)數(shù)器位組成,震蕩器的結(jié)構(gòu)可以是RC或晶振電路。CR為高電平時(shí),計(jì)數(shù)器清零且振蕩器使用無效,所有的計(jì)數(shù)器位均為主從觸發(fā)器 CP1非(和 CP0)的下降沿計(jì)數(shù)器以二進(jìn)制進(jìn)行計(jì)數(shù),在時(shí)鐘脈沖線上使用施密特觸發(fā)器對(duì)時(shí)鐘上升和下降時(shí)間無限制。 時(shí)基信號(hào)的產(chǎn)生原理:本電路采用32768HZ晶體震蕩器,利用CD4060芯片經(jīng)過14級(jí)分頻得到2HZ的信號(hào)(32768/214),在經(jīng)過CD4013雙D觸發(fā)器經(jīng)過二分頻得到0.5HZ的方波,即輸出秒脈沖信號(hào)使單片機(jī)進(jìn)行計(jì)數(shù)。 圖七 秒脈沖產(chǎn)生電路原理圖 2.6 顯示模塊1602基本技術(shù):1)、主要功能A、 40

26、通道點(diǎn)陣LCD 驅(qū)動(dòng);B、 可選擇當(dāng)作行驅(qū)動(dòng)或列驅(qū)動(dòng);C、 輸入/輸出信號(hào):輸出,能產(chǎn)生20×2個(gè)LCD驅(qū)動(dòng)波形;輸入,接受控制器送出的串行數(shù)據(jù)和控制信號(hào),偏壓(V1V6);D、 通過單片機(jī)控制將所測(cè)的頻率信號(hào)讀數(shù)顯示出來。 2)、技術(shù)參數(shù) 2.1)極限參數(shù)表名 稱符 號(hào)標(biāo) 準(zhǔn) 值單 位MINTYPEMAX電路電源VDD - VSS-0.3 7.0VLCD驅(qū)動(dòng)電壓VDD - VEEVDD - 13.5 VDD + 0.3V輸入電壓VIN-0.3 VDD + 0.3V靜電電壓 -100V工作溫度 -20 +70°C儲(chǔ)存溫度 -30 +80°C 2.2) 電參數(shù)表名

27、稱符 號(hào)測(cè) 試 條 件標(biāo) 準(zhǔn) 值單位MINTYPEMAX輸入高電平VIH-2.2 VDDV輸入低電平VIL-0.3 0.6V輸出高電平VOHIOH = 0.2mA2.4 -V輸出低電平VOLIOL = 1.2mA- 0.4V工作電流IDDVDD = 5.0V 2.0 mA 液晶驅(qū)動(dòng)電壓 VDD- VEETa = 0°C 4.9 VTa = 25°C 4.7 Ta = 50°C 4.5 3)、時(shí)序特性表項(xiàng) 目符 號(hào)測(cè)試條件標(biāo) 準(zhǔn) 值單位MINTYPEMAX允許時(shí)間周期TCYCE 5.1a 5.1b1000 ns允許脈沖寬度,高電平PWEH450-ns允許上升和下降時(shí)

28、間tEr tEf-25ns地址建立時(shí)間tAS140-ns數(shù)據(jù)延遲時(shí)間tDDR-320ns數(shù)據(jù)建立時(shí)間tDSW195-ns數(shù)據(jù)保持時(shí)間tH10-nsDATA HOLD TIMEtDHR20-ns地址保持時(shí)間tAH10-ns 4)、引腳和指令功能4.1)模塊引腳功能表引 線 號(hào)符 號(hào)名 稱功 能1Vss接地0V2VDD電路電源5V±10%3VEE液晶驅(qū)動(dòng)電壓保證VDD-VEE=4.55V電壓差4RS寄存器選擇信號(hào)H:數(shù)據(jù)寄存器 L:指令寄存器5R/W讀/寫信號(hào)H:讀 L:寫6E片選信號(hào)下降沿觸發(fā),鎖存數(shù)據(jù)7|14DB0|DB7 數(shù)據(jù)線 數(shù)據(jù)傳輸 4.2)寄存器選擇功能表RSR/W操 作0

29、0指令寄存器(IR)寫入01忙標(biāo)志和地址計(jì)數(shù)器讀出10數(shù)據(jù)寄存器(DR)寫入11數(shù)據(jù)寄存器讀出(注:忙標(biāo)志為"1"時(shí),表明正在進(jìn)行內(nèi)部操作,此時(shí)不能輸入指令或數(shù)據(jù),要等內(nèi)部操作結(jié)束,即忙標(biāo)志為"0"時(shí)。)4.3) 指令功能 格式:RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0共11種指令:清除,返回,輸入方式設(shè)置,顯示開關(guān),控制,移位,功能設(shè)置,CGRAM地址設(shè)置,DDRAM地址設(shè)置,讀忙標(biāo)志,寫數(shù)據(jù)到CG/DDRAM,讀數(shù)據(jù)由CG/DDRAM。 5)、顯示位與DD RAM 地址的對(duì)應(yīng)關(guān)系 顯 示 位 序 號(hào)1 2 3 4

30、5 40 DD RAM 地 址(HEX)第 一 行00 01 02 03 04 . 27第 二 行40 41 42 43 44 . 67 6)、初始化方法用戶所編的顯示程序,開始必須進(jìn)行初始化,否則模塊無法正常顯示,下面介紹兩種初始化方法;6.1利用內(nèi)部復(fù)位電路進(jìn)行初始化下面指令是在初始化過程中執(zhí)行的。(1) 清屏(DISPLAY CLEAR);(2) 功能設(shè)置(FUNCTION SET);DL = 1: 8Bit 接口數(shù)據(jù);N = 0: 1行顯示; F = 0:5×7dot字形;(3) 顯示開/關(guān)控制(DISPLAY ON/OFF CONTROL)D = 0: 顯示關(guān); C = 0

31、: 光標(biāo)關(guān); B = 0: 消隱關(guān)(4) 輸入方式設(shè)置(ENTRY MODE SET )I/D = 1:(增量): S = 0: 無移位: 6.2) 軟件復(fù)位 如果電路電源不能滿足復(fù)位電路的要求的話,那么初始化就要用軟件來實(shí)現(xiàn),過程如下: 八位接口初始化流程圖 電 源 開 VDD 上升到4.5V后等待>15 RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 1 1 × × × × 等待>4.1ms RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 1 1

32、× × × × RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 1 1 × × × × 等待>100us RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 1 1 N F × × 檢查忙標(biāo)志或延時(shí)40us RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 0 0 1 0 × × 檢查忙標(biāo)志或延時(shí)40us RS R/W DB7 DB6 DB

33、5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 0 0 0 0 0 1 檢查忙標(biāo)志或延時(shí)40 us RS R/W DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 0 0 0 0 0 0 0 1 I/D S 檢查忙標(biāo)志或延時(shí)1.64 初 始 化 結(jié) 束檢查忙標(biāo)志或延時(shí)40 第3節(jié) 軟件設(shè)計(jì) 主要能過編寫軟件來控制硬件完成以下各模塊的功能:3.1定時(shí)讀數(shù)3.2量程轉(zhuǎn)換3.3 BCD轉(zhuǎn)換3.4LCD顯示的功能單片機(jī)當(dāng)C/T=1時(shí)為計(jì)數(shù)方式,多路開關(guān)與定時(shí)器的外部引腳連通,外部計(jì)數(shù)脈沖由引腳輸入。當(dāng)外部信號(hào)由1至0跳變時(shí),計(jì)數(shù)器加1,此時(shí)T0成為外部事件的計(jì)數(shù)器。由于確認(rèn)一

34、次由1至0的跳變要用24個(gè)振蕩器周期,所以計(jì)數(shù)器的計(jì)數(shù)頻率為單片機(jī)內(nèi)部計(jì)數(shù)器頻率的1/24。當(dāng)CT=0時(shí)為定時(shí)方式,對(duì)單片機(jī)內(nèi)部計(jì)數(shù)器進(jìn)行m2分頻后,計(jì)數(shù)器的實(shí)際計(jì)數(shù)頻率為單片機(jī)內(nèi)部頻率凡的1/m2,當(dāng)GATE=0時(shí),反相器輸出為1,或門輸出為1,打開與門,使定時(shí)器的啟動(dòng)僅受TRO端信號(hào)電平的控制。在此種情況下,INT0引腳的電平變化對(duì)或門不起作用。TRO=1時(shí)接通控制開關(guān),計(jì)數(shù)脈沖加到計(jì)數(shù)器上,每來一個(gè)計(jì)數(shù)脈沖,計(jì)數(shù)器加1,只有當(dāng)TRO=0時(shí),控制開關(guān)斷開,計(jì)數(shù)器停止計(jì)數(shù)。當(dāng)GATA=0時(shí),若TRO=1,或門、與門全部打開,外部信號(hào)電平通過INTO引腳直接控制定時(shí)器的啟動(dòng)和關(guān)閉。輸人高電平時(shí)

35、允許計(jì)數(shù),否則停止計(jì)數(shù)。根據(jù)定時(shí)器的結(jié)構(gòu)原理,若我們將GATE位、TR0均設(shè)為1,INT0端輸人被測(cè)頻率信號(hào),當(dāng)被測(cè)信號(hào)的高電平到來時(shí),開始計(jì)數(shù);當(dāng)被測(cè)信號(hào)的低電平到來時(shí),計(jì)數(shù)器停止計(jì)數(shù),此時(shí)TL0、TH0的數(shù)據(jù)就是相應(yīng)的N值。 結(jié) 束 語 數(shù)字頻率計(jì)是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測(cè)量?jī)x器。在進(jìn)行模擬、數(shù)字電路的設(shè)計(jì)、安裝、調(diào)試過程中,由于其使用十進(jìn)制數(shù)顯示,測(cè)量迅速,精確度高,顯示直觀,會(huì)被經(jīng)常使用到。通過本次課程的設(shè)計(jì),不但加深我對(duì)在課程上所學(xué)到的單片機(jī)理論知識(shí)的認(rèn)識(shí)和理解,重新讓自己認(rèn)識(shí)到了這門學(xué)科的在應(yīng)用方面的廣闊前景,并且通過知識(shí)與應(yīng)用于實(shí)踐的結(jié)合更加豐富了自己

36、的知識(shí)。擴(kuò)展了知識(shí)面,不但掌握了本專業(yè)的相關(guān)知識(shí),而且對(duì)其他專業(yè)的知識(shí)也有所了解,而且較系統(tǒng)的掌握單片機(jī)應(yīng)用系統(tǒng)的開發(fā)過程,因而自身的綜合素質(zhì)有了全面的提高。經(jīng)過這次一個(gè)較完整的產(chǎn)品設(shè)計(jì)和制作過程,對(duì)于認(rèn)識(shí)到自己在知識(shí)方面存在的不足,明確今后的學(xué)習(xí)方向是非常有益的,為將來的的就業(yè)提前打了下堅(jiān)實(shí)的基礎(chǔ)。在設(shè)計(jì)過程中,得到了我的指導(dǎo)老師的悉心指導(dǎo)與幫助,還有其他老師和同學(xué)的大力支持和協(xié)助,在此一并表示衷心的感謝。 參考文獻(xiàn)1李光飛 ,樓苗然主編.51系列單片機(jī).北京:北京航空航天大學(xué)出版社,20032黃正瑾編著.CPLD系統(tǒng)設(shè)計(jì)技術(shù)入門與應(yīng)用. 北京: 電子工業(yè)出版社, 20023謝自美編著.電子

37、線路設(shè)計(jì)·實(shí)驗(yàn)·測(cè)試.華中理工大學(xué)出版社,20024陳永甫編著.電子電路智能化設(shè)計(jì).實(shí)例與應(yīng)用.北京:電子工業(yè)出版,2002.85康華光主編.電子技術(shù) 基礎(chǔ)(第四版).北京:高等教育出版社,1999附錄 匯編源程序代碼 RS BIT P2.0 ;P3.4腳接RS端 RW BIT P2.1 ;P3.5腳接R_W端 E BIT P2.2 ;P3.3腳接E端 ORG 0000H LJMP MAIN ORG 002BH LJMP IT1MAIN: MOV P0,#01H ;清除屏幕 ACALL ENABLE MOV P0,#01H ;清除屏幕 ACALL ENABLE MOV P0

38、,#01H ;清除屏幕 ACALL ENABLE MOV P0,#38H ;8位點(diǎn)陣方式 ACALL ENABLE MOV P0,#0cH ;開顯示 ACALL ENABLE MOV P0,#06H ;移動(dòng)光標(biāo) ACALL ENABLE MOV P0,#80H ;顯示位置 ACALL ENABLE mov p0,#80h ;第一行的位置 call enable mov dptr,#date call write3 mov p0,#0c0h ;第二行的位置 call enable MOV SP,#7FH CLR CY mov r6,#00 mov r2,#00 MOV R3,#00 MOV TM

39、OD,#15H MOV TL0,#00H MOV TH0,#00H mov th1,#high(65536-50000) mov tl1,#low(65536-50000) ;setb ET1cha1: SETB TR1 ;LCALL XIANSHI SETB TR0 mov 27h,#00h CLR C MOV C,P1.6 MOV 27H.0,C CLR C MOV C,P1.7 MOV 27H.1,C mov a,27h ANL A,#03H MOV 17H,ACHA: JBC TF1,JINWEI ;益處進(jìn)位 JMP CHAJINWEI:CLR TR1 mov th1,#high(65

40、536-50000) mov tl1,#low(65536-50000) SETB TR1 INC R3 MOV A,R3 ;JNB P3.2,$ CJNE A,#20,CHA CLR TR0 CLR TR1 MOV R3,#00 MOV R2,TH0 MOV R6,TL0 lcall zhuan LCALL write1 MOV TL0,#00H MOV TH0,#00H jmp cha1ZHUAN:MOV A,R2CLR CMOV 20H,#00HMOV 21H,#00HMOV 22H,#00HMOV 24H,#00HMOV 25H,#00HMOV R3,#10HNEXT: RLC AMO

41、V R2,AMOV A,20HADDC A,20HDA AMOV 20H,AMOV A,21HADDC A,21HDA AMOV 21H,AMOV A,22HADDC A,22HDA AMOV 22H,AMOV A,R2DJNZ R3,NEXTMOV A,R6clr cMOV R3,#08HNEXT1: RLC AMOV R6,AMOV A,24HADDC A,24HDA AMOV 24H,AMOV A,25HADDC A,25HDA AMOV 25H,Amov a,r6DJNZ R3,NEXT1clr cmov a,24haddc a,20hda amov 20h,amov a,25hadd

42、c a,21hda amov 21h,amov a,22haddc a,#00hda amov 22h,amov a,22hanl a,#0fhmov 31h,amov a,22hanl a,#0f0hswap amov 30h,amov a,21hanl a,#0f0hswap amov 32h,amov a,21hanl a,#0fhmov 33h,amov a,20hanl a,#0f0hswap amov 34h,amov a,20hanl a,#0fhmov 35h,aret;*;LCD顯示;* ENABLE: CLR RS CLR RW CLR E ACALL DELAY SETB E RET write1: ;寫數(shù)據(jù) MOV 10H,#00H MOV 11H,#00H MOV 12H,#00H MOV 13H,#00H MOV 14H,#00H MOV 15H,#00H MOV 16H,#00H mov p0,#0ch call enable mov p0,#0c0h ;第二行的位置 call enable MOV A,17H XRL A,#02H JNZ XIAN1 MOV 40H,#

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論