雙向移位寄存器.doc_第1頁(yè)
雙向移位寄存器.doc_第2頁(yè)
雙向移位寄存器.doc_第3頁(yè)
雙向移位寄存器.doc_第4頁(yè)
雙向移位寄存器.doc_第5頁(yè)
已閱讀5頁(yè),還剩2頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、、課程設(shè)計(jì)的目的與要求1 設(shè)計(jì)目的熟悉MAXPLUS2/QuMtusll軟件,掌握軟件的VHDL程序輸入、程序編譯和程序 仿真操 作;學(xué)習(xí)利用VHDL語(yǔ)言設(shè)計(jì)雙向移位寄存器電路程序。2設(shè)計(jì)要求根據(jù)設(shè)計(jì)正文提出的雙向移位寄存器功能設(shè)置,實(shí)現(xiàn)電路設(shè)計(jì)。雙向移位寄存器有三種輸入方式:4位并行輸入、1位左移串行輸入、1位右移串行輸入;雙向移位寄存器有一種輸出方式:4位并行輸出。雙向移位寄存器工作過(guò)程如下:當(dāng)1位數(shù)據(jù)從左移串行輸入端輸入時(shí),首先進(jìn)入內(nèi)部寄存器最高位,并在并行輸出口最高位輸出,后由同步時(shí)鐘的上跳沿觸發(fā)向左移位。當(dāng)1位數(shù)據(jù)從右移串行輸入端輸入時(shí),首先進(jìn)入內(nèi)部寄存器最低位,并在并行輸出口的最低

2、位輸岀,后由同步時(shí)鐘的上跳沿觸發(fā)向右移位。雙向移位寄存器的輸入、輸出端口如下:CLR :異步清零輸入端;SRSI :串行右移輸入端;SLSI :串行左移輸入端;A、B、C、D: 4位并行輸入端;QA、QB、QC、QD: 4位并彳亍輸出端;SO, S1:兩位控制碼輸入端。雙向移位寄存器的端口功能如下: 當(dāng)CLR= 0時(shí),4位輸出端清0;當(dāng)CLK= 0時(shí),4位輸出端保持原來(lái)狀態(tài)不變;當(dāng)S二00時(shí),4位輸出端保持原來(lái)的狀態(tài)不變;當(dāng)S= 01時(shí),允許串行右移輸入1位數(shù)據(jù);當(dāng)S= 10時(shí),允許串行左移輸入1位數(shù)據(jù);當(dāng)S= 11時(shí),允許4位數(shù)據(jù)從并行端口輸入。VHDL源程序:LIBRARY IEEE;包含

3、庫(kù)和程序包USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;word文檔可編輯entity double_dir_regt is定義實(shí)體為double_dir_regtport ( s: in std_logic_vector(1 downto 0);clr,clk,srsi,slsi,a,b,c,d:in stdjogic;result: out stdjogic_vector(3 downto 0);設(shè)置端口參數(shù)輸入控制信號(hào)s,時(shí)鐘elk,并行輸入abed, end double_dir_regt;輸出 result A

4、RCHITECTURE rtl of double_dir_regt issignal resultl: stdjogic_vector(3 downto 0);設(shè)置信號(hào) resultl 使 result 能作 為 輸入使用begi nresult<=result1;process (clk,clr)敏感信號(hào)為時(shí)鐘和清零信號(hào)beginif (cluO)then result1<=*'0000'*;elsif (clk'event and clk= 1*)thencase s iswhen "00"=>控制信號(hào)為00時(shí)實(shí)現(xiàn)保持功能re

5、sultl (0)<=result1 (0);result1(1)<=result1(1);resultl (2)<=result1 (2);resultl 3)<=result1 (3);when"01"=>控制信號(hào)為01時(shí)實(shí)現(xiàn)右移功能resultlv=srsi;resultl (2)<=result1 (3); resultl (1 )<=result1 (2); resultl (0)<=result1 (1);whe n"=>控制信號(hào)為10時(shí)實(shí)現(xiàn)左移功能result1(0)<=slsi;resul

6、tl (1 )<=result1 (0);resultl (2)<=result1 (1);resultl (3)<=result1 (2);whe n控制信號(hào)為11時(shí)實(shí)現(xiàn)并行輸入功能end case;end if:end process;end rtl;三、課程設(shè)計(jì)總結(jié)resultl (0)<=a;resultl (2)<=c;resultl (3)<=d;程序結(jié)束Me: ief lime Bat ID.Enj *f Roim232n&IrtfivatOniShi: 1End.nz 30.0 ns 40.0 HE 5CZE SDO ns ?0-0

7、ds £0.g nz*d:sinI12 p ris知& asJrjD廠1 -J)o100110 0-110C4曰nnlO):C|圖表1雙向移位寄存器右移仿真波形圖由上圖1看出當(dāng)sOs仁01時(shí),寄存器執(zhí)行右移功能,并可看出srsi=1100110011001100.輸出結(jié)果result依次由初始狀態(tài)0000,逐漸右移左端輸入端口輸入srsi=1100110011001100.此時(shí) result依次會(huì)出現(xiàn)1000:移入1: 1100:移入兩個(gè)1; 0110:移入兩個(gè)1 一個(gè)0;再有以后出現(xiàn)的結(jié)果0011,1001,1100,0110,0011,1001,1100此結(jié)果符合真值表要

8、求的右移功TirMRsfWtit麗in吠4853« InPrval37.53 ns § 険卜 IEtid”,10 0 u30.0 2WO 0 u<0 0 uSO p uGO 0 uHO 010 eJelk clr sLii srsiQ IIcdQ rCO030 0 110 0in顧"X co門 J!乂 R031jC帀1廠口7?57 iim 匸jooi jCcoa 痂1亍門而*圖表2雙向移位寄器移仿真波形圖由上圖2看出當(dāng)s0s1=10時(shí),寄存器執(zhí)行左移功能,并可看出slsi=1100110011001100,輸出結(jié)果result依次由初始狀態(tài)0000,逐漸右移

9、左端輸入端口輸入slsi=1100110011001100,此時(shí)result依次會(huì)出現(xiàn)0001 :移入1; 0011 :移入兩個(gè)1; 0110:移入兩個(gè)1 一個(gè)0;再有 以后出現(xiàn)的 結(jié)果1100,1001,0011,0110, 1100,1001,0011.此結(jié)果符合真值表要求的左移 功能。2U-0 «3U0 «<?SJ峙心M-sctr TinreEir卩Nx ci-it-ir D «P期MSf E3IB =OpcPninwnjjjOKllniwrd;Opp圖表3雙向移位寄存器并行輸入仿真波形圖OpeEnd繪生II倖曇sOsI=11110 110如圖3中控制

10、信號(hào)s0s1=11.寄存器執(zhí)行四位并行輸入功能,輸入端為圖中a,b,c,d=0110,當(dāng)時(shí)鐘脈沖到來(lái)后,寄存器輸出端result輸出結(jié)果應(yīng)為并行輸入數(shù)據(jù)abcd=0110,根據(jù)仿真波形圖可知,輸出結(jié)果result先出現(xiàn)0000的初始結(jié)果,經(jīng)過(guò)一段時(shí)間的延遲,輸出result=0110,為并行輸入數(shù)據(jù),實(shí)現(xiàn)了并行輸入的功能。Master * ne6-ai;EEy±1Fcrter15/ 3£inter? 3;1045neSyt*V£ncJiw<1 ”】£110.(na> At J£u q M如 q 24(1 q Mgq fifluAi111廠111 11 11 i 111111 1Ec3rEslsi1-1LJzrzi1LL11I:.1Q =JOy挖狗訂/sOs 1 =0000ab1c- - 一 . 1 1d11",E11fMHrcc;:(ecu y罰ijLU先i采" 01101嚴(yán)J 1

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論