微電子與集成電路設(shè)計(jì)_第1頁(yè)
微電子與集成電路設(shè)計(jì)_第2頁(yè)
微電子與集成電路設(shè)計(jì)_第3頁(yè)
微電子與集成電路設(shè)計(jì)_第4頁(yè)
微電子與集成電路設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩49頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、CMOSCMOS模擬集成電路模擬集成電路分析與設(shè)計(jì)分析與設(shè)計(jì)現(xiàn)代電路系統(tǒng)不可或缺的一部分?jǐn)?shù)字電路的信號(hào)處理能力不斷增強(qiáng)再?gòu)?qiáng)大也無法完全取代模擬電路在電路系統(tǒng)中的角色RF、ESD、ADC、DAC、PLL應(yīng)用實(shí)例自然界信號(hào)的處理數(shù)字通信磁盤驅(qū)動(dòng)電路無線接收器光接收器傳感器微處理器和存儲(chǔ)器自然界信號(hào)是模擬量聲音、光、震動(dòng)等速度、精度、功耗速度、精度、功耗信號(hào)處理信號(hào)處理信號(hào)太小信號(hào)太小時(shí)需要先時(shí)需要先放大放大濾除信濾除信號(hào)頻帶號(hào)頻帶外的干外的干擾擾探測(cè)器輸出探測(cè)器輸出電纜數(shù)據(jù)傳輸長(zhǎng)距離、高速時(shí)有限帶寬,大衰減,不適于高速遠(yuǎn)距離傳輸解決辦法?信號(hào)衰減、失真用下圖示接收電路采用多電平傳輸以降低信號(hào)帶寬降

2、低了發(fā)送和接收電路的帶寬要求發(fā)送端增加DAC,接收端用ADC識(shí)別磁盤數(shù)據(jù)讀取磁性信號(hào)數(shù)據(jù)磁頭電信號(hào)數(shù)據(jù)讀取方法:放大濾波數(shù)字化幅度僅幾幅度僅幾mV;有較大噪聲和失真;有較大噪聲和失真RF接收器天線接收的信號(hào)幅度僅幾V,有很大干擾信號(hào),中心頻率1GHz以上對(duì)接收電路要求對(duì)接收電路要求放大小信號(hào),極低噪聲放大小信號(hào),極低噪聲抑制干擾信號(hào)抑制干擾信號(hào)高頻工作高頻工作功耗,成本等功耗,成本等光纖數(shù)據(jù)傳輸極高帶寬,很低損耗,適用于高速、遠(yuǎn)距離傳輸電信號(hào)數(shù)據(jù)光信號(hào)數(shù)據(jù)電信號(hào)數(shù)據(jù)(小電流)接收電路要低噪寬帶,以高速檢出小信號(hào)1040Gb/s傳感器系統(tǒng)遍布生活各角落力(加速度計(jì)),熱(電子溫度計(jì)),聲(麥克風(fēng)

3、,超聲系統(tǒng)),光(數(shù)碼相機(jī)),磁(磁頭),高能粒子(安檢X光機(jī))等探測(cè)器信號(hào)的處理電路放大、濾波、ADC、DSP、數(shù)據(jù)傳輸?shù)燃铀俣扔?jì)探測(cè)器信號(hào)處理電路檢測(cè)該電容絕對(duì)值的變化量1的改變量,高精度信號(hào)檢出電路很難設(shè)計(jì)檢測(cè)兩個(gè)電容的差值降低信號(hào)檢出電路的設(shè)計(jì)難度微處理器典型的數(shù)字電路高端CPU的設(shè)計(jì)離不開資深A(yù)IC設(shè)計(jì)師的參與寄生電容/電阻/電感、封裝等對(duì)電路性能指標(biāo)(速度等)的影響高速數(shù)據(jù)線、時(shí)鐘線的時(shí)序正確必須把很多數(shù)字信號(hào)當(dāng)作模擬信號(hào)來考慮、處理存儲(chǔ)器存儲(chǔ)單元、靈敏放大器等都必須由AIC設(shè)計(jì)師設(shè)計(jì)。高速、大規(guī)模存儲(chǔ)器更是如此模擬電路的重要性 自然界中幾乎所有的物理量在時(shí)間和強(qiáng)度上均具有“連續(xù)”

4、的特性,都屬于模擬量; 被處理的物理量在進(jìn)行數(shù)字處理之前,需要進(jìn)行放大、預(yù)濾波、采樣和離散化處理,將模擬信號(hào)數(shù)字化; 經(jīng)過數(shù)字處理后的數(shù)字信號(hào)需要還原成模擬信號(hào),并且經(jīng)過進(jìn)一步放大才能成為能夠被人接受的形式;模擬電路的重要性在寬帶、高頻信號(hào)(光信號(hào)、射頻信號(hào)等)的處理中,數(shù)字化的難度極大,仍然需要采用模擬信號(hào)的處理方法(低噪聲放大、模擬濾波等); 工作頻率很高的數(shù)字電路的設(shè)計(jì),必須象模擬電路一樣考慮電路分布參數(shù)的影響,設(shè)計(jì)方法與設(shè)計(jì)原則與模擬電路的設(shè)計(jì)一致; 數(shù)?;旌系钠舷到y(tǒng)(SOC)的大量出現(xiàn);典型的信號(hào)處理系統(tǒng)的構(gòu)成框圖模擬電路的重要性模擬電路是現(xiàn)代電路系統(tǒng)中必不可少的一部分?jǐn)?shù)字電路無法

5、完全取代模擬電路電子產(chǎn)業(yè)需要大量?jī)?yōu)秀的模擬電路設(shè)計(jì)師模擬電路的實(shí)現(xiàn)方式模擬電路的實(shí)現(xiàn)方式在在PCBPCB板上,用分離元件搭建電路系統(tǒng)板上,用分離元件搭建電路系統(tǒng)在一個(gè)襯底上加工出各類基本元件并實(shí)現(xiàn)元件間的互連,在一個(gè)襯底上加工出各類基本元件并實(shí)現(xiàn)元件間的互連,構(gòu)成整個(gè)電路系統(tǒng)構(gòu)成整個(gè)電路系統(tǒng)AICAIC集成度在逐年增加,特征尺寸逐年下降(集成度在逐年增加,特征尺寸逐年下降(MooreMoore定律),能集成的定律),能集成的電路規(guī)模在增大電路規(guī)模在增大 器件制備在同一襯底上器件制備在同一襯底上 器件具有相似的性能參數(shù),易于匹配。器件具有相似的性能參數(shù),易于匹配。 器件參數(shù)由幾何尺寸決定。器件參

6、數(shù)由幾何尺寸決定。 器件的品種和參數(shù)的限制器件的品種和參數(shù)的限制 無源器件的面積大、參數(shù)的范圍小、精度差。無源器件的面積大、參數(shù)的范圍小、精度差。 MOS MOS工藝中的工藝中的BipolarBipolar晶體管的品種少、性能差。晶體管的品種少、性能差。 采用計(jì)算機(jī)仿真驗(yàn)證采用計(jì)算機(jī)仿真驗(yàn)證 無法用電路試驗(yàn)板驗(yàn)證。無法用電路試驗(yàn)板驗(yàn)證。 計(jì)算機(jī)驗(yàn)證的直觀性差,受仿真方法和器件參數(shù)的影計(jì)算機(jī)驗(yàn)證的直觀性差,受仿真方法和器件參數(shù)的影響大響大AICAIC的優(yōu)點(diǎn)的優(yōu)點(diǎn)高集成度高集成度高速度高速度高精度高精度低功耗低功耗大批量時(shí)成本低大批量時(shí)成本低什么是模擬集成電路設(shè)計(jì)?什么是模擬集成電路設(shè)計(jì)?模擬集成

7、電路設(shè)計(jì)是模擬集成電路設(shè)計(jì)是IC技術(shù)與功能或應(yīng)用的結(jié)合技術(shù)與功能或應(yīng)用的結(jié)合的的成功解決方案成功解決方案特定模擬電路、特定模擬電路、或系統(tǒng)的功能或系統(tǒng)的功能和性能和性能合適的集合適的集成電路工成電路工藝藝成功的設(shè)成功的設(shè)計(jì)結(jié)果計(jì)結(jié)果21幾何尺寸是設(shè)計(jì)的重要部分幾何尺寸是設(shè)計(jì)的重要部分;通常涉及一個(gè)模通常涉及一個(gè)模- -數(shù)混合電路;數(shù)混合電路;模擬占模擬占20%數(shù)字占數(shù)字占80%的芯片面積的芯片面積;模擬需要模擬需要80 %的設(shè)計(jì)時(shí)間的設(shè)計(jì)時(shí)間;模擬設(shè)計(jì)主要在電路級(jí);模擬設(shè)計(jì)主要在電路級(jí);成功的設(shè)計(jì)成功的設(shè)計(jì): 2/3取決于模擬取決于模擬,1/3取決于數(shù)字。取決于數(shù)字。電路設(shè)計(jì) 物理版圖設(shè)計(jì) 根

8、據(jù)工藝版圖設(shè)計(jì)規(guī)則設(shè)計(jì)器件、器件之間的互聯(lián)、電源和時(shí)鐘線的分布、與外部的連接電路測(cè)試 電路制備后對(duì)電路功能和性能參數(shù)的測(cè)試驗(yàn)證。模模擬擬 集集成成電電路路設(shè)設(shè)計(jì)計(jì)流流程程 電路設(shè)計(jì)電路設(shè)計(jì)物理設(shè)計(jì)物理設(shè)計(jì)制作制作測(cè)試和生產(chǎn)測(cè)試和生產(chǎn)模模擬擬 與與數(shù)數(shù)字字電電路路的的比比較較 模擬電路模擬電路數(shù)字電路數(shù)字電路信號(hào)在振幅上連續(xù),時(shí)間上連續(xù)或離信號(hào)在振幅上連續(xù),時(shí)間上連續(xù)或離散散信號(hào)在振幅和時(shí)間上不連續(xù),信號(hào)在振幅和時(shí)間上不連續(xù),二進(jìn)制信號(hào)有兩個(gè)狀態(tài)。二進(jìn)制信號(hào)有兩個(gè)狀態(tài)。設(shè)計(jì)在電路級(jí)水平設(shè)計(jì)在電路級(jí)水平設(shè)計(jì)在系統(tǒng)級(jí)水平設(shè)計(jì)在系統(tǒng)級(jí)水平元件必須有連續(xù)的值元件必須有連續(xù)的值元件尺寸固定元件尺寸固定定制

9、定制標(biāo)準(zhǔn)標(biāo)準(zhǔn)CAD工具難以適用工具難以適用成熟的成熟的CAD設(shè)計(jì)設(shè)計(jì)工具工具需要精確建模需要精確建模時(shí)序模型即可時(shí)序模型即可性能性能需要需要優(yōu)化優(yōu)化利用軟件可編程利用軟件可編程模塊非通用模塊非通用模塊通用模塊通用很難自動(dòng)布線很難自動(dòng)布線易于自動(dòng)布線易于自動(dòng)布線電源、噪音和線性度使動(dòng)態(tài)范圍受電源、噪音和線性度使動(dòng)態(tài)范圍受限限動(dòng)態(tài)范圍不受限動(dòng)態(tài)范圍不受限設(shè)計(jì)關(guān)注點(diǎn)多:包括速度、功耗、增益、精度、電設(shè)計(jì)關(guān)注點(diǎn)多:包括速度、功耗、增益、精度、電源電壓等;數(shù)字電路主要是速度、功耗源電壓等;數(shù)字電路主要是速度、功耗高精度模擬電路對(duì)低噪聲、低串?dāng)_、抗干擾等要求高精度模擬電路對(duì)低噪聲、低串?dāng)_、抗干擾等要求很高

10、;數(shù)字電路在這方面要求低很多很高;數(shù)字電路在這方面要求低很多器件的二階效應(yīng)對(duì)電路性能影響大;對(duì)工藝參數(shù)變器件的二階效應(yīng)對(duì)電路性能影響大;對(duì)工藝參數(shù)變化的敏感度比數(shù)字電路高很多化的敏感度比數(shù)字電路高很多設(shè)計(jì)的自動(dòng)化程度低,很多靠手工設(shè)計(jì);數(shù)字電路設(shè)計(jì)的自動(dòng)化程度低,很多靠手工設(shè)計(jì);數(shù)字電路設(shè)計(jì)自動(dòng)化程度高設(shè)計(jì)自動(dòng)化程度高模擬電路的建模和仿真難度大,對(duì)設(shè)計(jì)者經(jīng)驗(yàn)和直模擬電路的建模和仿真難度大,對(duì)設(shè)計(jì)者經(jīng)驗(yàn)和直覺的要求高覺的要求高針對(duì)針對(duì)DICDIC加工工藝設(shè)計(jì)、加工的加工工藝設(shè)計(jì)、加工的AICAIC會(huì)增加設(shè)計(jì)難度會(huì)增加設(shè)計(jì)難度數(shù)?;旌蠒?huì)增大數(shù)?;旌蠒?huì)增大AICAIC的設(shè)計(jì)難度的設(shè)計(jì)難度模擬集成電路

11、設(shè)計(jì)所需技能模擬集成電路設(shè)計(jì)所需技能l 一般情況下,模擬電路比數(shù)字電路復(fù)雜得多;一般情況下,模擬電路比數(shù)字電路復(fù)雜得多;l 需要同時(shí)掌握多個(gè)概念的能力;需要同時(shí)掌握多個(gè)概念的能力;l 必須能夠作出適當(dāng)?shù)暮?jiǎn)化和假設(shè);必須能夠作出適當(dāng)?shù)暮?jiǎn)化和假設(shè);l 需要同時(shí)掌握好建模和技術(shù);需要同時(shí)掌握好建模和技術(shù);l 擁有廣泛的技能擁有廣泛的技能面寬;面寬;l 能夠正確使用模擬仿真。能夠正確使用模擬仿真。成熟的模擬集成電路設(shè)計(jì)成熟的模擬集成電路設(shè)計(jì)1. 1.已經(jīng)建立的應(yīng)用領(lǐng)域已經(jīng)建立的應(yīng)用領(lǐng)域l 數(shù)數(shù)-模擬、模模擬、模-數(shù)轉(zhuǎn)換;數(shù)轉(zhuǎn)換;l 磁盤驅(qū)動(dòng)控制;磁盤驅(qū)動(dòng)控制;l 調(diào)制解調(diào)器調(diào)制解調(diào)器-濾波器;濾波器;

12、l 模擬鎖相環(huán);模擬鎖相環(huán);l DC-DC 轉(zhuǎn)換轉(zhuǎn)換l 光接收器光接收器.2.關(guān)于模擬電路的觀點(diǎn)關(guān)于模擬電路的觀點(diǎn) “如果通過數(shù)字化能做到節(jié)約,不要使用模擬如果通過數(shù)字化能做到節(jié)約,不要使用模擬”因此:模擬只是在速度、面積或電源比數(shù)字化更具有優(yōu)勢(shì)因此:模擬只是在速度、面積或電源比數(shù)字化更具有優(yōu)勢(shì)的地方采用的地方采用VLSI中模擬信號(hào)處理與數(shù)字信號(hào)處理中模擬信號(hào)處理與數(shù)字信號(hào)處理關(guān)鍵問題關(guān)鍵問題:l 數(shù)摸混合是實(shí)際應(yīng)用的需要數(shù)摸混合是實(shí)際應(yīng)用的需要l 不受微縮驅(qū)動(dòng)不受微縮驅(qū)動(dòng)l 受系統(tǒng)要求的驅(qū)動(dòng):可編程受系統(tǒng)要求的驅(qū)動(dòng):可編程/ /適應(yīng)性適應(yīng)性/ /可測(cè)性可測(cè)性/ /可設(shè)計(jì)性可設(shè)計(jì)性信號(hào)帶寬與應(yīng)

13、用信號(hào)帶寬與應(yīng)用信號(hào)帶寬與技術(shù)信號(hào)帶寬與技術(shù)基于Si材料雙極工藝核心元件為NPN、PNP晶體管MOS工藝核心元件為NMOS、PMOS晶體管體硅工藝、SOI工藝等基于其他材料GaAs(極高遷移率,超高速)、鍺等CMOS工藝的核心元件MOSFET金屬-氧化物-半導(dǎo)體場(chǎng)效應(yīng)晶體管發(fā)明早(1930),在IC上實(shí)用晚(1960s初期)CMOS工藝發(fā)明于60年代中期特點(diǎn):低功耗、高集成度、制造成本低首先用于DIC,再用于AIC與雙極工藝比優(yōu)點(diǎn)輸入阻抗大,加工成本低,低功耗,易于實(shí)現(xiàn)數(shù)模混合電路(是實(shí)現(xiàn)SOC較佳選擇),設(shè)計(jì)自由度大(小信號(hào)特性依賴于器件尺寸和直流偏量,雙極只依賴于直流偏量)缺點(diǎn)低增益,速度

14、慢(在改善,幾十GHz),噪聲大(也在改善)抽象從不同高度(角度)“觀察”同一個(gè)事物為什么要抽象?我們關(guān)心的對(duì)象或感興趣的程度是變化的把復(fù)雜度控制在一定范圍內(nèi)抽象級(jí)別器件級(jí)晶體管級(jí)(電路級(jí))結(jié)構(gòu)級(jí)系統(tǒng)級(jí)AIC設(shè)計(jì)師應(yīng)能在不同抽象級(jí)間自由切換成功的高性能IC都是分工協(xié)作、優(yōu)勢(shì)匯總的結(jié)果,IC設(shè)計(jì)師需要學(xué)會(huì)協(xié)作數(shù)字電路無法完全取代模擬電路,模擬電路是現(xiàn)數(shù)字電路無法完全取代模擬電路,模擬電路是現(xiàn)代電路系統(tǒng)中必不可少的一部分代電路系統(tǒng)中必不可少的一部分模擬電路設(shè)計(jì)的難點(diǎn)比數(shù)字電路不同模擬電路設(shè)計(jì)的難點(diǎn)比數(shù)字電路不同關(guān)注點(diǎn)、噪聲和干擾、器件二階效應(yīng)、設(shè)計(jì)自動(dòng)化程度關(guān)注點(diǎn)、噪聲和干擾、器件二階效應(yīng)、設(shè)計(jì)自

15、動(dòng)化程度、建模和仿真、工藝、數(shù)?;旌稀⒔:头抡?、工藝、數(shù)?;旌螦ICAIC具有高速度、高精度、低功耗、大批量時(shí)成本具有高速度、高精度、低功耗、大批量時(shí)成本低等優(yōu)點(diǎn)低等優(yōu)點(diǎn)用用CMOSCMOS工藝設(shè)計(jì)、加工工藝設(shè)計(jì)、加工AICAIC具有加工成本低、易實(shí)具有加工成本低、易實(shí)現(xiàn)數(shù)?;旌系葍?yōu)點(diǎn),被廣泛采用現(xiàn)數(shù)?;旌系葍?yōu)點(diǎn),被廣泛采用模擬集成電路設(shè)計(jì)包括三個(gè)主要步驟:模擬集成電路設(shè)計(jì)包括三個(gè)主要步驟: 電氣設(shè)計(jì)電氣設(shè)計(jì)_ _電路拓?fù)?、溝道寬長(zhǎng)比、直流電流源電路拓?fù)?、溝道寬長(zhǎng)比、直流電流源 物理設(shè)計(jì)物理設(shè)計(jì)_ _ 布局布局 測(cè)試設(shè)計(jì)測(cè)試設(shè)計(jì)_ _ 測(cè)試測(cè)試l模擬設(shè)計(jì)者必須是靈活且有技能的人才,能了解復(fù)模

16、擬設(shè)計(jì)者必須是靈活且有技能的人才,能了解復(fù)雜問題并使問題簡(jiǎn)化雜問題并使問題簡(jiǎn)化l模擬集成電路設(shè)計(jì)是靠改進(jìn)技術(shù)推進(jìn),而不是新技模擬集成電路設(shè)計(jì)是靠改進(jìn)技術(shù)推進(jìn),而不是新技術(shù)術(shù)l模擬集成電路設(shè)計(jì)已經(jīng)成熟并相對(duì)穩(wěn)定模擬集成電路設(shè)計(jì)已經(jīng)成熟并相對(duì)穩(wěn)定l一般的觀點(diǎn)是:一般的觀點(diǎn)是:“如果通過數(shù)字化能做到經(jīng)濟(jì)節(jié)約如果通過數(shù)字化能做到經(jīng)濟(jì)節(jié)約,不要使用模擬,不要使用模擬”l因此,模擬只是在速度、面積或電源比數(shù)字化更具因此,模擬只是在速度、面積或電源比數(shù)字化更具有優(yōu)勢(shì)的地方采用有優(yōu)勢(shì)的地方采用l深亞微米技術(shù)向模擬設(shè)計(jì)師的創(chuàng)造力提出了嚴(yán)峻挑深亞微米技術(shù)向模擬設(shè)計(jì)師的創(chuàng)造力提出了嚴(yán)峻挑戰(zhàn)戰(zhàn)1.電路設(shè)計(jì)2.電路仿真

17、(模擬)3.版圖設(shè)計(jì)4.版圖的驗(yàn)證(DRC LVS)5.寄生參數(shù)提取6.后仿真7.流片全定制全定制電路設(shè)計(jì):根據(jù)電路性能確定電路結(jié)構(gòu)和元電路設(shè)計(jì):根據(jù)電路性能確定電路結(jié)構(gòu)和元件參數(shù),件參數(shù), 沒有自動(dòng)設(shè)計(jì)軟件沒有自動(dòng)設(shè)計(jì)軟件 設(shè)計(jì)人員根據(jù)電路性能要求,初步確定電路結(jié)構(gòu)和元件參設(shè)計(jì)人員根據(jù)電路性能要求,初步確定電路結(jié)構(gòu)和元件參數(shù),利用電路模擬軟件進(jìn)行模擬分析,判斷修改數(shù),利用電路模擬軟件進(jìn)行模擬分析,判斷修改電路模擬:電路模擬:根據(jù)電路的根據(jù)電路的拓?fù)浣Y(jié)構(gòu)拓?fù)浣Y(jié)構(gòu)和和元件參數(shù)元件參數(shù)將電路問題將電路問題轉(zhuǎn)換成適當(dāng)?shù)臄?shù)學(xué)方程并求解,根據(jù)計(jì)算結(jié)果檢驗(yàn)電路設(shè)轉(zhuǎn)換成適當(dāng)?shù)臄?shù)學(xué)方程并求解,根據(jù)計(jì)算結(jié)果檢驗(yàn)

18、電路設(shè)計(jì)的正確性計(jì)的正確性 模擬對(duì)象:元件模擬對(duì)象:元件 優(yōu)點(diǎn):優(yōu)點(diǎn):不需實(shí)際元件、可作各種模擬甚至破壞性不需實(shí)際元件、可作各種模擬甚至破壞性模擬模擬在集成電路設(shè)計(jì)中起的作用:在集成電路設(shè)計(jì)中起的作用:版圖設(shè)計(jì)前的電路設(shè)計(jì),保證電路正確(包括電版圖設(shè)計(jì)前的電路設(shè)計(jì),保證電路正確(包括電路結(jié)構(gòu)和元件參數(shù))路結(jié)構(gòu)和元件參數(shù))有單元庫(kù)支持:?jiǎn)卧孪冉?jīng)過電路模擬有單元庫(kù)支持:?jiǎn)卧孪冉?jīng)過電路模擬無單元庫(kù)支持的全定制設(shè)計(jì):由底向上,首先對(duì)無單元庫(kù)支持的全定制設(shè)計(jì):由底向上,首先對(duì)單元門電路進(jìn)行電路設(shè)計(jì)、電路模擬,依此進(jìn)行單元門電路進(jìn)行電路設(shè)計(jì)、電路模擬,依此進(jìn)行版圖設(shè)計(jì),直至整個(gè)電路版圖設(shè)計(jì),直至整個(gè)電

19、路后仿真:考慮了寄生參數(shù),由電路模擬預(yù)測(cè)電路后仿真:考慮了寄生參數(shù),由電路模擬預(yù)測(cè)電路性能性能典型軟件:典型軟件:SPICESPICE、HSPICEHSPICE 可處理的元器件:電阻、電容、電感、互感、獨(dú)可處理的元器件:電阻、電容、電感、互感、獨(dú)立電流源、電壓源、傳輸線、四種受控源、四種立電流源、電壓源、傳輸線、四種受控源、四種器件(二極管、雙極管、結(jié)型場(chǎng)效應(yīng)管、器件(二極管、雙極管、結(jié)型場(chǎng)效應(yīng)管、MOSMOS)等等 可完成的分析功能:可完成的分析功能:直流分析:典型的是求解直流轉(zhuǎn)移特性直流分析:典型的是求解直流轉(zhuǎn)移特性(.(.DC)DC),輸入加掃描電壓或電流,求輸出和其他節(jié)點(diǎn)輸入加掃描電壓

20、或電流,求輸出和其他節(jié)點(diǎn)( 元 件 連 接 處 ) 電 壓 或 支 路 電 流 ; 還( 元 件 連 接 處 ) 電 壓 或 支 路 電 流 ; 還有有 . .TFTF、.OP.OP、.SENSE.SENSE交流分析交流分析(.(.AC)AC):以頻率為變量,在不同的頻:以頻率為變量,在不同的頻率上求出穩(wěn)態(tài)下輸出和其他節(jié)點(diǎn)電壓或支路電率上求出穩(wěn)態(tài)下輸出和其他節(jié)點(diǎn)電壓或支路電流的幅值和相位。噪聲分析和失真分析流的幅值和相位。噪聲分析和失真分析瞬態(tài)分析瞬態(tài)分析(.(.TRANTRAN) ):以時(shí)間為變量,輸入加隨時(shí)間:以時(shí)間為變量,輸入加隨時(shí)間變化的信號(hào),計(jì)算輸出和其節(jié)點(diǎn)電壓或支路電流變化的信號(hào),

21、計(jì)算輸出和其節(jié)點(diǎn)電壓或支路電流的瞬態(tài)值。的瞬態(tài)值。溫度特性分析溫度特性分析(.(.TEMPTEMP) ):不同溫度下進(jìn)行上述分析,:不同溫度下進(jìn)行上述分析,求出電路的溫度特性求出電路的溫度特性電路模擬軟件的基本結(jié)構(gòu)電路模擬軟件的基本結(jié)構(gòu) 五部分組成:輸入處理、元器件模型處理、五部分組成:輸入處理、元器件模型處理、建立電路方程、方程求解和輸出處理建立電路方程、方程求解和輸出處理電路模擬程序流程圖電路模擬程序流程圖 輸入處理:主要完成對(duì)輸入文件進(jìn)行編譯,詞輸入處理:主要完成對(duì)輸入文件進(jìn)行編譯,詞法語法檢查、存儲(chǔ)輸入數(shù)據(jù)、其他(元件預(yù)處法語法檢查、存儲(chǔ)輸入數(shù)據(jù)、其他(元件預(yù)處理等)理等) 模型處理:

22、元器件的數(shù)學(xué)模型:用數(shù)學(xué)公式模型處理:元器件的數(shù)學(xué)模型:用數(shù)學(xué)公式描述器件的電流電壓特性、與物理參數(shù)和工藝描述器件的電流電壓特性、與物理參數(shù)和工藝參數(shù)的關(guān)系參數(shù)的關(guān)系主要是非線性元件的模型:如主要是非線性元件的模型:如MOSMOS、BJTBJT、二極管等二極管等這些模型編入模型庫(kù),可調(diào)用;也可自行定義后加這些模型編入模型庫(kù),可調(diào)用;也可自行定義后加入模型庫(kù)入模型庫(kù)電路模擬的精度:模型精度、參數(shù)選取電路模擬的精度:模型精度、參數(shù)選取 建立電路方程建立電路方程根據(jù)電路結(jié)構(gòu)、元件參數(shù)、分析要求,建立方程根據(jù)電路結(jié)構(gòu)、元件參數(shù)、分析要求,建立方程依據(jù)的基本原理是歐姆定律和基爾霍夫定律依據(jù)的基本原理是歐

23、姆定律和基爾霍夫定律建立的方法很多建立的方法很多 方程求解方程求解數(shù)值解法:線性代數(shù)方程組解法、非線性方程組解法、數(shù)值解法:線性代數(shù)方程組解法、非線性方程組解法、 常微分方程組解法常微分方程組解法線性電路的直流分析:選主元的高斯消去法或線性電路的直流分析:選主元的高斯消去法或LULU分解法分解法非線性電路的直流分析:對(duì)非線性元件進(jìn)行線性化處理,非線性電路的直流分析:對(duì)非線性元件進(jìn)行線性化處理, 迭代方法迭代方法交流分析:線性電路、非線性電路,處理同上交流分析:線性電路、非線性電路,處理同上瞬態(tài)分析:常微分方程組,通過數(shù)值積分轉(zhuǎn)換瞬態(tài)分析:常微分方程組,通過數(shù)值積分轉(zhuǎn)換 輸出處理:輸出處理:選擇

24、輸出內(nèi)容和輸出方式(表格和曲線)選擇輸出內(nèi)容和輸出方式(表格和曲線) 較大規(guī)模電路,一般用較大規(guī)模電路,一般用電路圖輸入電路圖輸入,相應(yīng)的編譯,相應(yīng)的編譯程序轉(zhuǎn)換為電路描述語言再進(jìn)行模擬。程序轉(zhuǎn)換為電路描述語言再進(jìn)行模擬。 電路描述語言電路描述語言:描述電路結(jié)構(gòu)、元件參數(shù)、器:描述電路結(jié)構(gòu)、元件參數(shù)、器件模型、電路運(yùn)行環(huán)境、分析類型和輸出要求等件模型、電路運(yùn)行環(huán)境、分析類型和輸出要求等電路描述前首先要畫好電路圖,節(jié)點(diǎn)編號(hào)(接地節(jié)電路描述前首先要畫好電路圖,節(jié)點(diǎn)編號(hào)(接地節(jié)點(diǎn)零號(hào),其他正整數(shù))點(diǎn)零號(hào),其他正整數(shù))SPICESPICE的描述語言:的描述語言:電路拓?fù)洌ňW(wǎng)表)電路拓?fù)洌ňW(wǎng)表)采用模型(元件屬性)采用模型(元件屬性) 仿真內(nèi)容控制仿真內(nèi)容控制 CMOS INVERTER DC TRANS. CHARACTERISTICSVCC 2 0 5VIN 1 0M1 3 1 2 2 MOD1 L=2U W=18UM2 3 1 0 0 MOD2 L=2U W=10U.MODEL MOD1 PMOS LEVEL=3 VTO= 1 NSUB=2E15 UO=166.MODEL MOD2 NMOS LEVEL=3 VTO=1 NSUB=2E15 UO=550.DC VIN 0 5 0.1.PLOT DC V(3).END元件語句:元件名 與之相連

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論