FIR數(shù)字濾波器設(shè)計(jì)要點(diǎn)_第1頁
FIR數(shù)字濾波器設(shè)計(jì)要點(diǎn)_第2頁
FIR數(shù)字濾波器設(shè)計(jì)要點(diǎn)_第3頁
FIR數(shù)字濾波器設(shè)計(jì)要點(diǎn)_第4頁
FIR數(shù)字濾波器設(shè)計(jì)要點(diǎn)_第5頁
已閱讀5頁,還剩19頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、長 治 學(xué) 院2012屆學(xué)士學(xué)位畢業(yè)論文FIR數(shù)字濾波器設(shè)計(jì) 學(xué) 號(hào): 08405416 姓 名: 劉萍 指導(dǎo)教師: 張秀秀 專 業(yè): 電子信息科學(xué)與技術(shù) 系 別: 電子信息與物理系 完成時(shí)間:2012年5月長治學(xué)院學(xué)士學(xué)位論文FIR數(shù)字濾波器的設(shè)計(jì)專業(yè):電子信息科學(xué)與技術(shù) 姓名:劉萍 學(xué)號(hào):08485416 指導(dǎo)教師: 張秀秀摘要:本論文首先介紹了FIR數(shù)字濾波器的基礎(chǔ)知識(shí),然后講述了本論文對(duì)于FIR數(shù)字濾波器的設(shè)計(jì)方法。設(shè)計(jì)思想為:以窗函數(shù)設(shè)計(jì)方法為基礎(chǔ),選定一個(gè)理想的選頻濾波器,然后截取它的脈沖響應(yīng)得到線性相位。仿真工具應(yīng)用MATLAB軟件設(shè)計(jì)FIR數(shù)字濾波器,并對(duì)所設(shè)計(jì)的濾波器進(jìn)行了分

2、析總結(jié),可以得到較好的濾波效果。關(guān)鍵字:數(shù)字濾波器;MATLAB;窗函數(shù)法目錄1. 緒論11.1數(shù)字濾波器的研究背景和意義11.2數(shù)字濾波器的應(yīng)用與發(fā)展趨勢11.3 主要研究內(nèi)容32. FIR濾波器42.1 FIR濾波器的基本概念42.2 FIR濾波器的特點(diǎn)42.2.1數(shù)字濾波器類型42.2.2FIR濾波器的線性相位53. 濾波器的設(shè)計(jì)63.1 窗函數(shù)法63.2頻率采樣法73.3切比雪夫逼近法84. FIR濾波器的實(shí)現(xiàn)方法105. 基于MATLAB的FIR濾波器的設(shè)計(jì)125.1MATLAB簡介125.2 基于MATLAB的FIR濾波器的設(shè)計(jì)135.2.1高通濾波器135.2.2低通濾波器的設(shè)計(jì)

3、15結(jié)束語19參考文獻(xiàn)201. 緒論 1.1數(shù)字濾波器的研究背景和意義 當(dāng)今,數(shù)字信號(hào)處理技術(shù)正飛速發(fā)展,它不但自成一門學(xué)科,更是以不同形式影響和滲透到其他學(xué)科;它與國民經(jīng)濟(jì)息息相關(guān),與國防建設(shè)緊密相連;它影響或改變著我們的生產(chǎn)、生活方式,因此受到人們普遍的關(guān)注數(shù)字化、智能化和網(wǎng)絡(luò)化是當(dāng)代信息技術(shù)發(fā)展的大趨勢,而數(shù)字化是智能化和網(wǎng)絡(luò)化的基礎(chǔ),實(shí)際生活中遇到的信號(hào)多種多樣,例如廣播信號(hào)、電視信號(hào)、雷達(dá)信號(hào)、通信信號(hào)、導(dǎo)航信號(hào)等等。上述這些信號(hào)大部分是模擬信號(hào),也有小部分是數(shù)字信號(hào)。模擬信號(hào)是自變量的連續(xù)函數(shù),自變量可以是一維的,也可以是二維或多維的。大多數(shù)情況下一維模擬信號(hào)的自變量是時(shí)間,經(jīng)過時(shí)

4、間上的離散化(采樣)和幅度上的離散化(量化),這類模擬信號(hào)便成為一維數(shù)字信號(hào)。因此,數(shù)字信號(hào)實(shí)際上是數(shù)字序列表示的信號(hào),語音信號(hào)經(jīng)采樣和量化后,得到的數(shù)字信號(hào)是一個(gè)一維離散時(shí)間序列;而圖像信號(hào)的經(jīng)采樣和量化后,得到的是數(shù)字信號(hào)是一個(gè)二維離散空間序列。數(shù)字濾波技術(shù)是數(shù)字信號(hào)分析、處理技術(shù)的重要分支。無論是信號(hào)的獲取、傳輸,還是信號(hào)的處理和交換都離不開濾波技術(shù),它對(duì)信號(hào)安全可靠和有效靈活地傳輸時(shí)至關(guān)重要的。在所有的電子系統(tǒng)中,使用最多技術(shù)最復(fù)雜的要算數(shù)字濾波器了。數(shù)字濾波器的優(yōu)劣直接決定產(chǎn)品的優(yōu)劣。1.2數(shù)字濾波器的應(yīng)用與發(fā)展趨勢在信號(hào)處理過程中,所處理的信號(hào)往往混有噪音,從接收到的信號(hào)中消除或減

5、弱噪音是信號(hào)傳輸和處理中十分重要的問題。根據(jù)有用信號(hào)和噪音的不同特性,提取有用信號(hào)的過程稱為濾波,實(shí)現(xiàn)濾波功能的系統(tǒng)稱為濾波器。在近代電信設(shè)備和各類控制系統(tǒng)中,數(shù)字濾波器應(yīng)用極為廣泛,這里只列舉部分應(yīng)用最成功的領(lǐng)域。(1) 語音處理 語音處理是最早應(yīng)用數(shù)字濾波器的領(lǐng)域之一,也是最早推動(dòng)數(shù)字信號(hào)處理理論發(fā)展的領(lǐng)域之一。該領(lǐng)域主要包括5個(gè)方面的內(nèi)容:第一,語音信號(hào)分析。即對(duì)語音信號(hào)的波形特征、統(tǒng)計(jì)特性、模型參數(shù)等進(jìn)行分析計(jì)算;第二,語音合成。即利用專用數(shù)字硬件或在通用計(jì)算機(jī)上運(yùn)行軟件來產(chǎn)生語音;第三,語音識(shí)別。即用專用硬件或計(jì)算機(jī)識(shí)別人講的話,或者識(shí)別說話的人;第四,語音增強(qiáng)。即從噪音或干擾中提取

6、被掩蓋的語音信號(hào)。第五,語音編碼。主要用于語音數(shù)據(jù)壓縮,目前已經(jīng)建立了一系列語音編碼的國際標(biāo)準(zhǔn),大量用于通信和音頻處理。近年來,這5個(gè)方面都取得了不少研究成果,并且,在市場上已出現(xiàn)了一些相關(guān)的軟件和硬件產(chǎn)品,例如,盲人閱讀機(jī)、啞人語音合成器、口授打印機(jī)、語音應(yīng)答機(jī),各種會(huì)說話的儀器和玩具,以及通信和視聽產(chǎn)品大量使用的音頻壓縮編碼技術(shù)。(2) 圖像處理數(shù)字濾波技術(shù)以成功地應(yīng)用于靜止圖像和活動(dòng)圖像的恢復(fù)和增強(qiáng)、數(shù)據(jù)壓縮、去噪音和干擾、圖像識(shí)別以及層析X射線攝影,還成功地應(yīng)用于雷達(dá)、聲納、超聲波和紅外信號(hào)的可見圖像成像。(3) 通信在現(xiàn)代通信技術(shù)領(lǐng)域內(nèi),幾乎沒有一個(gè)分支不受到數(shù)字濾波技術(shù)的影響。信源

7、編碼、信道編碼、調(diào)制、多路復(fù)用、數(shù)據(jù)壓縮以及自適應(yīng)信道均衡等,都廣泛地采用數(shù)字濾波器,特別是在數(shù)字通信、網(wǎng)絡(luò)通信、圖像通信、多媒體通信等應(yīng)用中,離開了數(shù)字濾波器,幾乎是寸步難行。其中,被認(rèn)為是通信技術(shù)未來發(fā)展方向的軟件無線電技術(shù),更是以數(shù)字濾波技術(shù)為基礎(chǔ)。(4) 電視數(shù)字電視取代模擬電視已是必然趨勢。高清晰度電視的普及指日可待,與之配套的視頻光盤技術(shù)已形成具有巨大市場的產(chǎn)業(yè);可視電話和會(huì)議電視產(chǎn)品不斷更新?lián)Q代。視頻壓縮和音頻壓縮技術(shù)所取得的成就和標(biāo)準(zhǔn)化工作,促成了電視領(lǐng)域產(chǎn)業(yè)的蓬勃發(fā)展,而數(shù)字濾波器及其相關(guān)技術(shù)是視頻壓縮和音頻壓縮技術(shù)的重要基礎(chǔ)。(5) 雷達(dá)雷達(dá)信號(hào)占有的頻帶非常寬,數(shù)據(jù)傳輸速

8、率也非常高,因而壓縮數(shù)據(jù)量和降低數(shù)據(jù)傳輸速率是雷達(dá)信號(hào)數(shù)字處理面臨的首要問題。告訴數(shù)字器件的出現(xiàn)促進(jìn)了雷達(dá)信號(hào)處理技術(shù)的進(jìn)步。在現(xiàn)代雷達(dá)系統(tǒng)中,數(shù)字信號(hào)處理部分是不可缺少的,因?yàn)閺男盘?hào)的產(chǎn)生、濾波、加工到目標(biāo)參數(shù)的估計(jì)和目標(biāo)成像顯示都離不開數(shù)字濾波技術(shù)。雷達(dá)信號(hào)的數(shù)字濾波器是當(dāng)今十分活躍的研究領(lǐng)域之一。(6) 聲納聲納信號(hào)處理分為兩大類,即有源聲納信號(hào)處理和無源聲納信號(hào)處理,有源聲納系統(tǒng)涉及的許多理論和技術(shù)與雷達(dá)系統(tǒng)相同。例如,他們都要產(chǎn)生和發(fā)射脈沖式探測信號(hào),他們的信號(hào)處理任務(wù)都主要是對(duì)微弱的目標(biāo)回波進(jìn)行檢測和分析,從而達(dá)到對(duì)目標(biāo)進(jìn)行探測、定位、跟蹤、導(dǎo)航、成像顯示等目的,他們要應(yīng)用到的主要

9、信號(hào)處理技術(shù)包括濾波、門限比較、譜估計(jì)等。 (7) 生物醫(yī)學(xué)信號(hào)處理 數(shù)字濾波器在醫(yī)學(xué)中的應(yīng)用日益廣泛,如對(duì)腦電圖和心電圖的分析、層析X射線攝影的計(jì)算機(jī)輔助分析、胎兒心音的自適應(yīng)檢測等。(8) 音樂數(shù)字濾波器為音樂領(lǐng)域開辟了一個(gè)新局面,在對(duì)音樂信號(hào)進(jìn)行編輯、合成、以及在音樂中加入交混回響、合聲等特殊效果特殊方面,數(shù)字濾波技術(shù)都顯示出了強(qiáng)大的威力。數(shù)字濾波器還可用于作曲、錄音和播放,或?qū)εf錄音帶的音質(zhì)進(jìn)行恢復(fù)等。(9) 其他領(lǐng)域 數(shù)字濾波器的應(yīng)用領(lǐng)域如此廣泛,以至于想完全列舉他們是根本不可能的,除了以上幾個(gè)領(lǐng)域外,還有很多其他的應(yīng)用領(lǐng)域。例如,在軍事上被大量應(yīng)用于導(dǎo)航、制導(dǎo)、電子對(duì)抗、戰(zhàn)場偵察;

10、在電力系統(tǒng)中被應(yīng)用于能源分布規(guī)劃和自動(dòng)檢測;在環(huán)境保護(hù)中被應(yīng)用于對(duì)空氣污染和噪聲干擾的自動(dòng)監(jiān)測,在經(jīng)濟(jì)領(lǐng)域中被應(yīng)用于股票市場預(yù)測和經(jīng)濟(jì)效益分析,等等。1.3 主要研究內(nèi)容本課題主要應(yīng)用MATLAB軟件設(shè)計(jì)FIR數(shù)字濾波器,對(duì)所設(shè)計(jì)的濾波器進(jìn)行仿真,檢驗(yàn)FIR濾波器的性能。為了使設(shè)計(jì)更加形象直觀,并采用simulink對(duì)濾波器進(jìn)行仿真,進(jìn)一步分析它的性能。具體工作包括:介紹FIR數(shù)字濾波器的特點(diǎn),分析FIR濾波器的設(shè)計(jì)方法,重點(diǎn)研究窗函數(shù)設(shè)計(jì)FIR濾波器;采用MATLAB軟件來學(xué)習(xí)數(shù)字濾波器的基本知識(shí),對(duì)FIR帶通數(shù)字濾波器進(jìn)行設(shè)計(jì)和仿真,結(jié)合圖形對(duì)濾波器的性能進(jìn)行分析。2. FIR濾波器2.1

11、 FIR濾波器的基本概念FIR濾波器有限長單位沖激響應(yīng)濾波器,是數(shù)字信號(hào)處理系統(tǒng)中最基本的元件,它可以在保證任意幅頻特性的同時(shí)具有嚴(yán)格的線性相頻特性,同時(shí)其單位抽樣響應(yīng)是有限長的,因而濾波器是穩(wěn)定的系統(tǒng)。因此,F(xiàn)IR濾波器在通信、圖像處理、模式識(shí)別等領(lǐng)域都有著廣泛的應(yīng)用。濾波器設(shè)計(jì)是根據(jù)給定濾波器的頻率特性,求得滿足該特性的傳輸函數(shù)。2.2 FIR濾波器的特點(diǎn)2.2.1數(shù)字濾波器類型一般數(shù)字濾波器從功能上分類,可以分為低通、高通、帶通和帶阻等濾波器。數(shù)字濾波器從實(shí)現(xiàn)的網(wǎng)絡(luò)結(jié)構(gòu)或者從單位脈沖響應(yīng)分類,可以分成無限脈沖響應(yīng)(IIR)濾波器和有限脈沖響應(yīng)(FIR)濾波器。他們的系統(tǒng)函數(shù)分別為: (2

12、-2-1) (2-2-2)(2-2-1)式中的稱為N階IIR濾波器函數(shù),(2-2-2)式中稱為N-1階FIR濾波器函數(shù)。在數(shù)字信號(hào)處理應(yīng)用中往往需要設(shè)計(jì)線性相位的濾波器,F(xiàn)IR濾波器在保證幅度特性滿足技術(shù)要求的同時(shí),很容易做到嚴(yán)格的線性相位特性。FIR濾波器不斷地對(duì)輸入樣本x(n)延時(shí)后,再作乘法累加算法,將濾波結(jié)果y(n)輸出,因此,F(xiàn)IR實(shí)際上是一種乘法累加運(yùn)算。在數(shù)字濾波器中,F(xiàn)IR濾波器的最主要的特點(diǎn)是沒有反饋回路,不存在不穩(wěn)定的問題;同時(shí),可以在幅度特性是隨意設(shè)置的同時(shí),保證精確的線性相位。穩(wěn)定和線性相位特性是FIR濾波器的突出優(yōu)點(diǎn)。另外,它還有以下特點(diǎn):設(shè)計(jì)方式是線性的;硬件容易實(shí)

13、現(xiàn);濾波器過渡過程具有有限區(qū)間;相對(duì)IIR濾波器而言,階次較高,其延遲也要比同樣性能的IIR濾波器大得多。2.2.2FIR濾波器的線性相位在許多應(yīng)用領(lǐng)域,例如通信和圖像處理中,在一定頻率范圍內(nèi)維持相位的完整性是一個(gè)期望的系統(tǒng)屬性。因此,就必須設(shè)計(jì)能夠建立線性相位性能的濾波器。系統(tǒng)相位線性度的標(biāo)準(zhǔn)尺度就是“群時(shí)延”,其定義為: (2-2-3)完全理想的線性相位濾波器對(duì)于一定頻率范圍內(nèi)的群時(shí)延是一個(gè)常數(shù)。線性相位條件:對(duì)于長度為N的h(n),傳輸函數(shù)為 (2-2-4) (2-2-5)式中,稱為幅度特性,稱為線性相位特性。線性相位是指是的線性函數(shù),即, 為常數(shù) (2-2-6)如果滿足下式:, 是初始

14、相位 (2-2-7)嚴(yán)格的說,此時(shí)不具有線性相位,但以上兩種情況都滿足群時(shí)延是一個(gè)常數(shù),即(2-2-8)也稱這種情況為線性相位。一般滿足(2-2-6)式是第一類線性相位;滿足(2-2-7)式為第二類線性相位。第一類線性相位:h(n)是實(shí)序列且對(duì)(N-1)/2偶對(duì)稱,即 (2-2-9)第二類線性相位:h(n)是實(shí)序列且對(duì)(N-1)/2奇對(duì)稱,即 (2-2-10)3. 濾波器的設(shè)計(jì)3.1 窗函數(shù)法設(shè)計(jì)FIR數(shù)字濾波器的最簡單的方法是窗函數(shù)法,通常也稱之為傅立葉級(jí)數(shù)法。FIR數(shù)字濾波器的設(shè)計(jì)首先給出要求的理想濾波器的頻率響應(yīng),設(shè)計(jì)一個(gè)FIR數(shù)字濾波器頻率響應(yīng),去逼近理想的濾波響應(yīng)。然而,窗函數(shù)法設(shè)計(jì)

15、FIR數(shù)字濾波器是在時(shí)域進(jìn)行的,因而必須由理想的頻率響應(yīng)推導(dǎo)出對(duì)應(yīng)的單位取樣響應(yīng),再設(shè)計(jì)一個(gè)FIR數(shù)字濾波器的單位取樣響應(yīng)去逼近。設(shè)計(jì)過程如下: (3-1-1)加窗的作用是通過把理想濾波器的無限長脈沖響應(yīng)乘以窗函數(shù)來產(chǎn)生一個(gè)被截?cái)嗟拿}沖響應(yīng),即并且對(duì)頻率響應(yīng)進(jìn)行平滑。FIR濾波器單位沖激響應(yīng)h(n):其單位沖激響應(yīng)h(n)是有限長(),系統(tǒng)函數(shù)為: (3-1-2)在有限Z平面有(N-1)個(gè)零點(diǎn),而它的(N-1)個(gè)極點(diǎn)均位于原點(diǎn)z=0處。FIR濾波器線性相位的特點(diǎn):如果FIR濾波器的單位抽樣響應(yīng)h(n)為實(shí)數(shù),而且滿足以下任一條件: 偶對(duì)稱h(n)h(N-1-n)  

16、0;  奇對(duì)稱h(n)-h(N-1-n)其對(duì)稱中心在n(N-1)/2處,則濾波器具有準(zhǔn)確的線性相位。窗函數(shù)主要用來減少序列因截?cái)喽a(chǎn)生的Gibbs效應(yīng)。但當(dāng)這個(gè)窗函數(shù)為矩形時(shí),得到的FIR濾波器幅頻響應(yīng)會(huì)有明顯的Gibbs效應(yīng),并且任意增加窗函數(shù)的長度(即FIR濾波器的抽頭數(shù))Gibbs效應(yīng)也不能得到改善。為了克服這種現(xiàn)象,窗函數(shù)應(yīng)該使設(shè)計(jì)的濾波器:(1) 頻率特性的主瓣寬度應(yīng)盡量窄,且盡可能將能量集中在主瓣內(nèi);(2) 窗函數(shù)頻率特性的旁瓣趨于 的過程中,其能量迅速減小為零。 在實(shí)際工程中常用的窗函數(shù)有五種,即矩形窗、三角窗、漢寧窗、海明窗和凱澤窗。這些窗函數(shù)在MATLAB中分別用b

17、oxcar、triang、hanning、hamming、kaiser實(shí)現(xiàn),它們之間的性能比較如表1所示。表1 5種窗函數(shù)性能比較窗類型旁瓣峰值主瓣峰值最小阻帶衰減矩形窗13dB4/M21dB三角窗25dB8/M25dB漢寧窗31dB8/M44dB海明窗41dB8/M53dB凱澤窗57dB12/M74dB3.2頻率采樣法 頻率采樣法是從頻域出發(fā),根據(jù)頻域采樣定理,對(duì)給定的理想濾波器的頻率響應(yīng)加以等間隔的抽樣 ,得到: k=0,1,N-1 (3-2-1)再利用可求得FIR濾波器的系統(tǒng)函數(shù)及頻率響應(yīng)。 而在各采樣點(diǎn)間的頻率響應(yīng)則是其的加權(quán)內(nèi)插函數(shù)延伸疊加的結(jié)果。但對(duì)于一個(gè)無限長的序列,用頻率采樣法

18、必然有一定的逼近誤差,誤差的大小取決于理想頻響曲線的形狀, 理想頻響特性變換越平緩, 則內(nèi)插函數(shù)值越接近理想值,誤差越小。為了提高逼近的質(zhì)量,可以通過在頻率相應(yīng)的過渡帶內(nèi)插入比較連續(xù)的采樣點(diǎn),擴(kuò)展過渡帶使其比較連續(xù),從而使得通帶和阻帶之間變換比較緩慢,以達(dá)到減少逼近誤差的目的。 選取w0,2內(nèi)N個(gè)采樣點(diǎn)的約束條件為: (3-2-2)(1)增大阻帶衰減三種方法:1)加寬過渡帶寬,以犧牲過渡帶換取阻帶衰減的增加。2)過渡帶的優(yōu)化設(shè)計(jì)利用線性最優(yōu)化的方法確定過渡帶采樣點(diǎn)的值,得到要求的濾波器的最佳逼近(而不是盲目地設(shè)定一個(gè)過渡帶值)。3)增大N。如果要進(jìn)一步增加阻帶衰減,但又不增加過渡帶寬,可增加采

19、樣點(diǎn)數(shù)N。代價(jià)是濾波器階數(shù)增加,運(yùn)算量增加。直接從頻域進(jìn)行設(shè)計(jì),物理概念清楚,直觀方便;適合于窄帶濾波器設(shè)計(jì),這時(shí)頻率響應(yīng)只有少數(shù)幾個(gè)非零值,但是截止頻率難以控制。典型應(yīng)用:用一串窄帶濾波器組成多卜勒雷達(dá)接收機(jī),覆蓋不同的頻段,多卜勒頻偏可反映被測目標(biāo)的運(yùn)動(dòng)速度。3.3切比雪夫逼近法Chebyshev方法是最佳一致逼近法。該方法在數(shù)字信號(hào)處理中占有重要的地位,是設(shè)計(jì)FIR濾波器理想的方法。但是,該方法的原理較為復(fù)雜。數(shù)字濾波器頻域設(shè)計(jì)的最優(yōu)方法是等波紋切比雪夫法,是采用最大誤差最小準(zhǔn)則得到最優(yōu)數(shù)字濾波器,而且其最優(yōu)解唯一。最優(yōu)設(shè)計(jì)實(shí)際上是調(diào)節(jié)FIR濾波器Z域零點(diǎn)的分布,使得實(shí)際濾波器的頻域響應(yīng)

20、和理想濾波器的頻域響應(yīng)之間的最大絕對(duì)誤差最小。對(duì)于I型FIR數(shù)字濾波器,其頻響可表示為: (3-3-1)其中,為濾波器系數(shù),L = M/2, M為濾波器階數(shù)。我們將研究對(duì)于設(shè)計(jì)具有廣義線形相位的FIR濾波器特別有效且廣泛使用的算法Parks-McClellan算法。該算法的基礎(chǔ)是將濾波器的設(shè)計(jì)問題用公式表示成多項(xiàng)式逼近問題。該算法將濾波器階數(shù)L、帶沿頻率和,以及通帶阻帶最大誤差比固定,令或?yàn)樽兞浚行Ф到y(tǒng)的改變(L+1)個(gè)非限制的脈沖響應(yīng)值,從而達(dá)到滿足設(shè)計(jì)指標(biāo)的目的。(3-3-1)式中的項(xiàng)可表示為不同冪次之和,可改寫為 (3-3-2)式中,是與相關(guān)的常數(shù)。我們定義逼近誤差函數(shù)為: (3-3

21、-3)其中,為加權(quán)函數(shù),要求,及只在區(qū)間有定義。最大誤差最小準(zhǔn)則即是在所要求頻域上找出使(3-3-2)式的最大加權(quán)逼近誤差達(dá)最小的頻響。即最佳逼近就是在意義上所求得的逼近。這里的閉子集。使給定階次的多項(xiàng)式的最大加權(quán)誤差為最小的充要條件由交替定理給出。其表達(dá)式為 i=1,2,.,(L+2) (3-3-4) (3-3-5)為最優(yōu)誤差。(3-3-4)、(3-3-5)式說明逼近誤差至少要有L+2交錯(cuò)點(diǎn),從而使|E|最小,唯一。由(3-3-2),(3-3-4)式可以解出系數(shù)組和。另一種更為有效的方法是多項(xiàng)式內(nèi)插公式,可求得 (3-3-6)其中,也即若由滿足(3-3-2),(3-3-4)式 確定的并且由(

22、3-3-6)式給出,則誤差函數(shù)就會(huì)通過(L+2)個(gè)頻率上的士處。而為避免求解復(fù)雜方程組(3-3-2),(3-3-4)來得出系數(shù),Parks-McClellan采用Lagrange多項(xiàng)式內(nèi)插公式,有 (3-3-7)其中, ,這里令。通過(3-3-7)式可計(jì)算通帶和阻帶中多處頻域的和值。若對(duì)通帶和阻帶中的所有,都有|<,則說明已達(dá)到最佳逼近。否則,需計(jì)算出新的極值頻率。4. FIR濾波器的實(shí)現(xiàn)方法數(shù)字濾波器的實(shí)現(xiàn)方法一般有以下幾種(1)用計(jì)算機(jī)軟件實(shí)現(xiàn)軟件實(shí)現(xiàn)方法即是在通用的微型計(jì)算機(jī)上用軟件實(shí)現(xiàn)。利用計(jì)算機(jī)的存儲(chǔ)器、運(yùn)算器和控制器把濾波所要完成的運(yùn)算編成程序通過計(jì)算機(jī)來執(zhí)行,軟件可由使用者

23、自己編寫,也可以使用現(xiàn)成的。國內(nèi)外的研究機(jī)構(gòu)、公司已經(jīng)推出了不同語言的信號(hào)濾波處理軟件包。但是這種方法速度慢,難以對(duì)信號(hào)進(jìn)行實(shí)時(shí)處理,雖然可以用快速傅立葉變換算法來加快計(jì)算速度,但要達(dá)到實(shí)時(shí)處理要付出很高的代價(jià),因而該方法多用于教學(xué)與科研。(2)采用DSP處理器來實(shí)現(xiàn)DSP處理器是專為數(shù)字信號(hào)處理而設(shè)計(jì)的,如TI公司的TMS320CX系列,AD公司的ADSP2IX, ADSP210X系列等。它的主要數(shù)字運(yùn)算單元是一個(gè)乘累加器,能夠在一個(gè)機(jī)器周期內(nèi)完成一次乘累加運(yùn)算,配有適合于信號(hào)處理的指令,具備獨(dú)特的循環(huán)尋址和倒序?qū)ぶ纺芰?。這些特點(diǎn)都非常適合數(shù)字信號(hào)處理中的濾波器設(shè)計(jì)的有效實(shí)現(xiàn),并且它的速度快

24、,成本低,在過去的20多年的時(shí)間里,軟件可編程的DSP器件幾乎統(tǒng)治了商用數(shù)字信號(hào)處理硬件的市場。用DSP芯片實(shí)現(xiàn)數(shù)字濾波除了具有穩(wěn)定性好、精確度高、不受環(huán)境影響外,還具有靈活性好的特點(diǎn)。用可編程DSP芯片實(shí)現(xiàn)數(shù)字濾波可通過修改濾波器的參數(shù)十分方便的改變?yōu)V波器的特性。(3)采用固定功能的專用信號(hào)處理器實(shí)現(xiàn)專用信號(hào)處理器采用專用集成電路ASIC(Application Specific Integrated Circuits)來實(shí)現(xiàn),適用于過程固定而又追求高速的信號(hào)處理任務(wù),是以指定的算法來確定它的結(jié)構(gòu),使用各種隨機(jī)邏輯器件組成的信號(hào)處理器。它們體積小、保密性好,具有極高的性能,然而靈活性差。(4

25、)用FPGA等可編程器件實(shí)現(xiàn) 使用相關(guān)開發(fā)工具和VHDL等硬件開發(fā)語言,通過軟件編程用硬件實(shí)現(xiàn)特定數(shù)字濾波算法。這一方法由于具有通用性的特點(diǎn)并可以實(shí)現(xiàn)算法的并行運(yùn)算,無論是作為獨(dú)立的數(shù)字信號(hào)處理,還是作為DSP芯片協(xié)作處理器,都是比較活躍的研究領(lǐng)域。如今,F(xiàn)PGA已成為數(shù)字信號(hào)處理系統(tǒng)的核心器件,尤其在數(shù)字通信、網(wǎng)絡(luò)、視頻和圖像處理等領(lǐng)域?,F(xiàn)在的FPGA不僅包含查找表、寄存器、多路復(fù)用器、分布式塊存儲(chǔ)器,而且還嵌入專用的快速加法器、乘法器和輸入,輸出設(shè)備。FPGA具有實(shí)現(xiàn)高速并行運(yùn)算的能力,因而成為高性能數(shù)字信號(hào)處理的理想器件。此外,與專用集成電路(ASIC)相比,F(xiàn)PGA具有可重復(fù)編程的優(yōu)點(diǎn)

26、。在數(shù)字多普勒接收機(jī)的實(shí)現(xiàn)過程中,根據(jù)接收機(jī)的性能要求以及所選用的FPGA器件資源,選取最優(yōu)的FlR濾波器實(shí)現(xiàn)結(jié)構(gòu)。隨著FPGA查找技術(shù)的發(fā)展,具有較快的濾波速度和消耗較少資源的DA算法在FIR數(shù)字濾波領(lǐng)域得到了廣泛應(yīng)用。5. 基于MATLAB的FIR濾波器的設(shè)計(jì)5.1MATLAB簡介Matlab產(chǎn)品家族是美國mathwork公司開發(fā)的用于概念設(shè)計(jì)、算法開發(fā)、建筑仿真、實(shí)時(shí)實(shí)現(xiàn)的理想的集成環(huán)境。由于其完整的專業(yè)體系和先進(jìn)的開發(fā)設(shè)計(jì)思路,使matlab在各種領(lǐng)域中都有廣闊的應(yīng)用空間,特別是在matlab的主要應(yīng)用空間科學(xué)計(jì)算、建模仿真及信息工程系統(tǒng)的設(shè)計(jì)開發(fā)上已成為行業(yè)內(nèi)首選的設(shè)計(jì)工具。全球現(xiàn)有

27、超過五十五萬的企業(yè)用戶和上千萬的個(gè)人用戶,廣泛分布在航空航天、金融財(cái)務(wù)、機(jī)械化工、電信、教育等各個(gè)行業(yè)。Matlab產(chǎn)品家族中,matlab工具箱是整個(gè)體系的基座,它是一個(gè)語言編程型開發(fā)平臺(tái),提供了體系中其他工具所需要的集成環(huán)境。Matlab中的信號(hào)處理工具箱Signal Processing Toolbox是建立在濾波器設(shè)計(jì)及譜分析的的堅(jiān)實(shí)基礎(chǔ)上,包含了一系列強(qiáng)有力的工具以利于算法的開發(fā)、信號(hào)及線性系統(tǒng)分析、多速率信號(hào)處理、濾波器設(shè)計(jì)及分析,工具箱提供了一個(gè)集成的圖形界面,方便濾波器設(shè)計(jì)、譜分析、信號(hào)瀏覽等。該工具箱可用來進(jìn)行算法開發(fā)、濾波器設(shè)計(jì)、頻譜分析等,應(yīng)用于話音處理、通訊、地球物理、

28、實(shí)時(shí)控制、財(cái)務(wù)、雷達(dá)及生物醫(yī)學(xué)工程。MATLAB具有強(qiáng)大的仿真功能,其明顯的優(yōu)勢有:友好的工作平臺(tái)和編程環(huán)境簡單易用的程序語言 強(qiáng)大的科學(xué)計(jì)算機(jī)數(shù)據(jù)處理能力 出色的圖形處理功能 應(yīng)用廣泛的模塊集合工具箱 實(shí)用的程序接口和發(fā)布平臺(tái) 應(yīng)用軟件開發(fā)(包括用戶界面) MATLAB包括擁有數(shù)百個(gè)內(nèi)部函數(shù)的主包和三十幾種工具包。工具包又可以分為功能性工具包和學(xué)科工具包。功能工具包用來擴(kuò)充MATLAB的符號(hào)計(jì)算,可視化建模仿真,文字處理及實(shí)時(shí)控制等功能。學(xué)科工具包是專業(yè)性比較強(qiáng)的工具包,控制工具包,信號(hào)處理工具包,通信工具包等都屬于此類。開放性使MATLAB廣受用戶歡迎。除內(nèi)部函數(shù)外,所有MATLAB主包文

29、件和各種工具包都是可讀可修改的文件,用戶通過對(duì)源程序的修改或加入自己編寫程序構(gòu)造新的專用工具包。MATLAB提供了相應(yīng)的子程序來實(shí)現(xiàn)窗函數(shù),例如:wd=boxcar(N) %數(shù)組wd中返回N點(diǎn)矩形窗函數(shù)wd=triang(N) %數(shù)組wd中返回N點(diǎn)三角窗函數(shù)wd-harming(N) %數(shù)組wd中返回N點(diǎn)漢寧窗函數(shù)wd=hamming(N) %數(shù)組wd中返回N點(diǎn)哈明窗函數(shù)wd=blackman(N) %數(shù)組wd中返回N點(diǎn)布萊克曼窗函數(shù)這些函數(shù)的輸入一般只要窗函數(shù)的長度N就夠了,只有凱塞窗還需要規(guī)定beta值。輸出單元就是中心值歸一化為1的窗函數(shù)序列wd,它是列向量。MATLAB是用顏色區(qū)分并標(biāo)

30、注各條曲線的,在黑白印刷時(shí)無法表示。用戶可以在計(jì)算機(jī)上得到相似的以不同顏色區(qū)分的圖形??傊琈atlab語言的設(shè)計(jì)思想可以說代表了當(dāng)前計(jì)算機(jī)高級(jí)語言的發(fā)展。5.2 基于MATLAB的FIR濾波器的設(shè)計(jì)5.2.1高通濾波器用窗函數(shù)設(shè)計(jì)高通濾波器,性能指標(biāo)如下:通帶截止頻率s=0.2,阻帶截止頻率p=0.3,實(shí)際通帶波動(dòng)Rp=0.25dB,最小阻帶衰減As=70dB。分析:從表1可以看出凱澤窗能提供74dB的最小阻帶衰減,所以選用凱澤窗進(jìn)行設(shè)計(jì),程序主要部分如下:As=70;s=0.2*; p=0.3*tr_width=p-s;%計(jì)算過渡帶寬M=ceil(As-7.95)*2*/(14.36*tr

31、_width)+1)+1;按凱澤窗計(jì)算濾波器長度disp(濾波器的長度為,num2str(M);beta=0.1102*(As-8.7); %計(jì)算凱澤窗的值n=0:1:M-1;disp(線性相位斜率為,num2str(beta); w_kai=(kaiser(M,beta); %求凱澤窗函數(shù) c=(s+p)/2; hd=ideal_lp(,M)-ideal_lp(c,M); %求理想脈沖響應(yīng) h=hd*w_kai; %設(shè)計(jì)的脈沖響應(yīng)為理想脈沖響應(yīng)與窗函數(shù)乘積db,mag,pha,grd,=freqz_m(h,1);delta_=2*/1000;Rp=-(min(db(p/delta_+1:1:

32、501);disp(實(shí)際通帶波動(dòng)為,num2str(Rp);%以下為作圖程序As=-round(max(db(1:1:s/delta_+1);disp(最小阻帶衰減為,num2str(As);subplot(1,1,1);subplot(2,2,1);stem(n,hd);title(理想脈沖響應(yīng));axis(0 M-1 -0.4 0.8);ylabel(hd(n);subplot(2,2,2);stem(n,w_kai);title(凱澤窗); axis(0 M-1 0 1.1);ylabel(wd(n);subplot(2,2,3);stem(n,h);title(實(shí)際脈沖響應(yīng));axis

33、(0 M-1 -0.4 0.8);xlabel(n);ylabel(h(n); subplot(2,2,4);plot(/,db); title(幅度響應(yīng)/dB);axis(0 1 -100 10);grid;xlabel(以為單位的頻率);ylabel(分貝數(shù)/dB);程序運(yùn)行結(jié)果如圖1所示。實(shí)際通帶波動(dòng)為0.04369,最小阻帶衰減為70,濾波器長度為89,線性相位斜率為6.7553,符合設(shè)計(jì)要求。圖5-1凱澤窗函數(shù)設(shè)計(jì)的高通濾波器響應(yīng)曲線5.2.2低通濾波器的設(shè)計(jì)用窗函數(shù)設(shè)計(jì)低通濾波器,性能指標(biāo)如下:通帶截止頻率p=0.1,阻帶截止頻率s=0.25,實(shí)際通帶波動(dòng)Rp=0.10dB,最小阻

34、帶衰減As=40dB。分析:從表1可以看出,漢寧窗、海明窗和凱澤窗能提供大于40dB的最小阻帶衰減。但漢寧窗的旁瓣峰值較小,而主瓣寬度和海明窗一樣??梢允篂V波器的階數(shù)較少,所以選用漢寧窗進(jìn)行設(shè)計(jì),程序主要部分如下:p=0.10*;s=0.25*;tr_width=s-p; %計(jì)算過渡帶寬M=ceil(6.6*/tr_width)+1; %按漢寧窗計(jì)算濾波器長度disp(濾波器的長度為,num2str(M);n=0:M-1;c=(s+p)/2; %截止頻率取為兩邊緣頻率的平均值hd=ideal_lp(c,M); %求理想脈沖響應(yīng)w_han=(hanning(M); %求漢寧窗函數(shù)h=hd*w_h

35、an; %設(shè)計(jì)的脈沖響應(yīng)為理想脈沖響應(yīng)與窗函數(shù)乘積db,mag,pha,grd,=freqz_m(h,1);%以下為作圖語句delta_=2*/1000;Rp=-(min(db(1:1: p/delta_+1);disp(實(shí)際通帶波動(dòng)為,num2str(Rp); %以下為作圖程序As=-round(max(db(s/delta_+1:1:501);disp(最小阻帶衰減為,num2str(As);subplot(221)stem(n,hd);title(理想沖擊響應(yīng)),axis(0 M-1 -0.1 0.3);ylabel(hd(n);subplot(222)stem(n,w_han);tit

36、le(漢寧窗),axis(0 M-1 0 1.1);ylabel(wd(n);subplot(223)stem(n,h);title(實(shí)際沖擊響應(yīng)), axis(0 M-1 -0.1 0.3); xlabel(n);ylabel(h(n);subplot(224);plot(/,db);title(幅度響應(yīng)(db);axis(0 1 -100 10),grid;xlabel(以為單位的頻率);ylabel(分貝數(shù)); 仿真結(jié)果如圖2所示。實(shí)際通帶波動(dòng)為0.076565,最小阻帶衰減為44,濾波器長度為67,符合設(shè)計(jì)要求。 圖5-2漢寧窗函數(shù)設(shè)計(jì)的低通濾波器響應(yīng)曲線與其他高級(jí)語言的程序設(shè)計(jì)相比,MATLAB環(huán)境下可以更方便、快捷地設(shè)計(jì)出具有嚴(yán)格線性相位的FIR濾波器,節(jié)省大量的編程時(shí)間,提高編程效率,且參數(shù)的修改也十分方便,還可以進(jìn)一步進(jìn)行優(yōu)化設(shè)計(jì)。相信隨著版本的不斷提高,MATL在數(shù)字濾波器技術(shù)中必將發(fā)揮更大的作用。同時(shí),用MATLAB計(jì)算有關(guān)數(shù)字濾波器的設(shè)計(jì)參數(shù),如H(z)、h(n)等,對(duì)于數(shù)字濾波器的硬件實(shí)現(xiàn)也提供了一條簡單而準(zhǔn)確的途徑和依據(jù)。結(jié)束語通過對(duì)本課題的研究,關(guān)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論