直流電機PWM調(diào)速分解(共15頁)_第1頁
直流電機PWM調(diào)速分解(共15頁)_第2頁
直流電機PWM調(diào)速分解(共15頁)_第3頁
直流電機PWM調(diào)速分解(共15頁)_第4頁
直流電機PWM調(diào)速分解(共15頁)_第5頁
已閱讀5頁,還剩10頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、精選優(yōu)質(zhì)文檔-傾情為你奉上安徽工業(yè)大學(xué)設(shè)計報告 課 程: 硬件描述語言與系統(tǒng)仿真 選 題: 直流電機PWM控制 姓 名: 學(xué) 院: 電氣信息學(xué)院 專 業(yè): 電氣工程(專碩) 學(xué) 號: 指 導(dǎo) 教 師: 武衛(wèi)華 摘要:直流電機由于具有響應(yīng)迅速、精度和效率高、調(diào)速范圍寬、負(fù)載能力大、控制性能優(yōu)良等特點。隨著EDA技術(shù)的發(fā)展,用基于PFGA的數(shù)字電子系統(tǒng)對電動機進行控制,為實現(xiàn)電動機數(shù)字控制提供了一種新的有效方法。該設(shè)計介紹了直流電機的PWM調(diào)速原理,討論了各個電路模塊實現(xiàn)的功能。論文中利用QUARTUES軟件對系統(tǒng)進行建模、仿真。本系統(tǒng)是以FPGA為其控制核心,輸入電路向FPGA控制系統(tǒng)發(fā)出控制命

2、令??刂葡到y(tǒng)接收命令后直接向H型橋式驅(qū)動電路發(fā)出PWM控制信號。輸出電路主要實現(xiàn)正反轉(zhuǎn)、啟停控制、速度在線可調(diào)功能。關(guān)鍵詞:直流電機,F(xiàn)PGA,VHDL,PWM1 直流電機工作原理和調(diào)速方案設(shè)計1.1直流電機簡介1.1.1直流電機基本結(jié)構(gòu)直流電機由定子(靜止部分)和轉(zhuǎn)子(轉(zhuǎn)動部分)兩大部分組成。1.1 直流電機的結(jié)構(gòu)1.1.2直流電機工作原理直流電機的工作原理建立在電磁力和電磁感應(yīng)的基礎(chǔ)上,從圖2.1可以看出主磁極N、S間裝著一個可以轉(zhuǎn)動的鐵磁圓柱體,圓柱體的表面上固定著一個線圈abcd。abcd是裝在可以轉(zhuǎn)動的鐵磁圓柱上的一個線圈,把線圈的兩端分別接到兩個圓弧形的銅片上(簡稱換向片),兩者相

3、互絕緣,鐵芯和線圈合稱電樞。當(dāng)線圈中通入直流電流時,線圈邊上受到電磁力F=Bli,根據(jù)左手定則確定力的方向,這一對電磁力形成了作用于電樞的一個電磁轉(zhuǎn)矩,轉(zhuǎn)矩的方向是逆時針方向。若電樞轉(zhuǎn)動,線圈兩邊的位置互換,而線圈中通過的還是直流電流,則所產(chǎn)生的電磁轉(zhuǎn)矩的方向則變?yōu)轫槙r針方向,因此電樞受到一種方向交變的電磁轉(zhuǎn)矩。這種交變的電磁轉(zhuǎn)矩只能使電樞來回?fù)u擺,而不能使電樞連續(xù)轉(zhuǎn)動。顯然,要使電樞受到一個方向不變的電磁轉(zhuǎn)矩,關(guān)鍵在于,當(dāng)線圈邊在不同極性的磁極下,如何將流過線圈中的電流方向及時地加以變換,即進行所謂“換向”。為此必須增添一個叫做換向器的裝置,換向器由互相絕緣的銅質(zhì)換向片構(gòu)成,裝在軸上,也和電

4、樞絕緣,且和電樞一起旋轉(zhuǎn)。換向器又與兩個固定不動的由石墨制成的電刷A、B相接觸。裝了這種換向器以后,若將直流電壓加于電刷端,直流電流經(jīng)電刷流過電樞上的線圈,則產(chǎn)生電磁轉(zhuǎn)矩,電樞在電磁轉(zhuǎn)矩的作用下就旋轉(zhuǎn)起來。電樞一經(jīng)轉(zhuǎn)動,由于換向器配合電刷對電流的換向作用,直流電流交替地由線圈邊ab和cd流入,使線圈邊只要處于N極下,其中通過電流的方向總是由電刷A流入的方向,而在S極下時,總是從電刷B流出的方向。這就保證了每個極下線圈邊中的電流始終是一個方向。這樣的結(jié)構(gòu),就可使電動機能連續(xù)地旋轉(zhuǎn)。這就是直流電機的基本工作原理。1.2直流電機調(diào)速原理1.2.1直流電機電壓調(diào)速原理圖1.2 直流電機慣例圖1.2為按

5、電機慣例標(biāo)定的直流電機穩(wěn)定運行量各物理量的正方向。由圖可見電機的電樞電動勢Ea的正方向與電樞電流Ia的方向相反,為反電動勢;電磁轉(zhuǎn)矩T的正方向與轉(zhuǎn)速n的方向相同,是拖動轉(zhuǎn)矩;軸上的機械負(fù)載轉(zhuǎn)矩T2及空載轉(zhuǎn)矩T0均與n相反,是制動轉(zhuǎn)矩。根據(jù)基爾霍夫第二定律,電樞回路列回路電壓方程可得直流電動的電動勢平衡方程式:U=Ea-Ia(Ra+Rc) 式中,Ra為電樞回路電阻,電樞回路串聯(lián)保繞阻與電刷接觸電阻的總和;Rc是外接在電樞回路中的調(diào)節(jié)電阻。 由此可得到直流電機的轉(zhuǎn)速公式為: n =Ua-IR/Ce 式中,Ce為電動勢常數(shù),是磁通量。 n =Ea/Ce 從由式子中可以看出,對于一個已經(jīng)制造好的電機,

6、當(dāng)勵磁電壓和負(fù)載轉(zhuǎn)矩恒定時,它的轉(zhuǎn)速由電樞電壓Ea決定,電樞電壓越高,電機轉(zhuǎn)速就越快,電樞電壓降低到0V時,電機就停止轉(zhuǎn)動;改變電樞電壓的極性,電機就反轉(zhuǎn)??傊姍C的調(diào)速可以通過控制電樞電壓實現(xiàn)。圖1.3 PWM調(diào)速原理1.2.2直流電機PWM調(diào)速原理所謂脈沖寬度調(diào)制是指用改變電機電樞電壓接通與斷開的時間的的占空比來控制電機轉(zhuǎn)速的方法,稱為脈沖寬度調(diào)制(PWM)。對于直流電機調(diào)速系統(tǒng),使用FPGA進行調(diào)速是極為方便的。其方法是通過改變電機電樞電壓導(dǎo)通時間與通電時間的比值(即占空比)來控制電機速度1。PWM調(diào)速原理如圖1.3所示。在脈沖作用下,當(dāng)電機通電時,速度增加;電機斷電時,速度逐漸減少。只

7、要按一定規(guī)律,改變通、斷電時間,即可讓電機轉(zhuǎn)速得到控制。設(shè)電機永遠(yuǎn)接通電源時,其轉(zhuǎn)速最大為Vmax,設(shè)占空比為D=t1/T,則電機的平均速度為 Vd=Vmax·D 式中,Vd電機的平均速度 Vmax電機全通時的速度(最大) D=t1/T占空比 平均速度Vd與占空比D的函數(shù)曲線,如圖1.4所示。圖1.4 平均速度和占空比的關(guān)系由圖1.4所示可以看出,Vd與占空比D并不是完全線性關(guān)系(圖中實線),當(dāng)系統(tǒng)允許時,可以將其近似地看成線性關(guān)系(圖中虛線)。因此也就可以看成電機電樞電壓Ua與占空比D成正比,改變占空比的大小即可控制電機的速度。 由以上敘述可知:電機的轉(zhuǎn)速與電機電樞電壓成比例,而電

8、機電樞電壓與控制波形的占空比成正比,因此電機的速度與占空比成比例,占空比越大,電機轉(zhuǎn)得越快,當(dāng)占空比1時,電機轉(zhuǎn)速最大。1.3 H型橋式驅(qū)動電路設(shè)計直流電機驅(qū)動電路使用最廣泛的就是H型全橋式驅(qū)動電路,這種驅(qū)動電路可以很方便實現(xiàn)直流電機的四象限運行,分別對應(yīng)正轉(zhuǎn)、正轉(zhuǎn)制動、反轉(zhuǎn)、反轉(zhuǎn)制動。它的基本原理圖如圖1.5所示2-3。圖1.5 H型全橋式驅(qū)動電路H型全橋式驅(qū)動電路的4只三極管都工作在斬波狀態(tài),V1、V4為一組,V2、V3為另一組,兩組的狀態(tài)互補,一組導(dǎo)通則另一組必須關(guān)斷。當(dāng)V1、V4導(dǎo)通時,V2、V3關(guān)斷,電機兩端加正向電壓,可以實 現(xiàn)電機的正轉(zhuǎn)或反轉(zhuǎn)制動;當(dāng)V2、V3導(dǎo)通時,V1、V4關(guān)

9、斷,電機兩端為反向電壓,電機反轉(zhuǎn)或正轉(zhuǎn)制動。在直流電機運轉(zhuǎn)的過程中,我們要不斷地使電機在四個象限之間切換,即在正轉(zhuǎn)和反轉(zhuǎn)之間切換,也就是在V1、V4導(dǎo)通且V2、V3關(guān)斷,到V1、V4關(guān)斷且V2、V3導(dǎo)通,這兩種狀態(tài)之間轉(zhuǎn)換。2 控制邏輯VHDL描述2.1 FPGA內(nèi)部邏輯組成電機控制邏輯模塊由PWM脈寬調(diào)制信號產(chǎn)生電路、方向控制電路組成。其中PWM脈寬調(diào)制信號產(chǎn)生電路由可控的加減計數(shù)器CNTA、4位二進制計數(shù)器CNTB、數(shù)字比較器三部分組成,方向控制電路由兩個二選一電路21MUX組成4-7。2.2 各個模塊設(shè)計PWM脈寬調(diào)制信號產(chǎn)生電路由可控的加減計數(shù)器CNTA、4位二進制計數(shù)器CNTB、數(shù)字

10、比較器三部分組成。當(dāng)U/D=1時,輸入K,使設(shè)定值計數(shù)器的輸出值增加,PWM的占空比增加,電機轉(zhuǎn)速加快;當(dāng)U/D =0,輸入K,使設(shè)定值計數(shù)器的輸出值減小,PWM的占空比減小,電機轉(zhuǎn)速變慢。4位二進制計數(shù)器在時鐘的作用下,鋸齒波計數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計數(shù)值小于設(shè)定值時,數(shù)字比較器輸出高電平;當(dāng)計數(shù)值大于設(shè)定值時,數(shù)字比較器輸出低電平,由此產(chǎn)生周期性的PWM波形。2.2.1 常數(shù)發(fā)生器可控的加減計數(shù)器CNTA中的端口U_D控制計數(shù)器的方向,K鍵是計數(shù)器的占空比輸入端,控制計數(shù)器初值的變化。U_D=1時,加減計數(shù)器CNTA在K鍵的作用下,每按一次,計數(shù)器CNTA加1,U_D=0時,每

11、按一次,計數(shù)器CNTA減1。VHDL語言如下:ENTITY CNTA IS PORT(K:IN STD_LOGIC; U_D:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END CNTA;ARCHITECTURE behav OF CNTA IS SIGNAL CQI:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(K)BEGIN IF K'EVENT AND K='1'THEN IF U_D='1' THEN IF CQI=10 THEN CQI<=&q

12、uot;1010" ELSE CQI<=CQI+1; END IF; ELSEIF CQI=0 THEN CQI<="0000" ELSE CQI<=CQI-1; END IF; END IF; END IF; END PROCESS; CQ<=CQI; END behav;仿真波形如下:波形分析:當(dāng)U_D處于高電平時,當(dāng)K鍵按一下,CQ增加一,當(dāng)U_D處于低電平時,當(dāng)K鍵按一下,CQ減少一。當(dāng)CQ=5時,占空比為5/10=0.5.2.2.2 鋸齒波發(fā)生器CNTB是一個簡單的0到10計數(shù)器,它的工作原理和CNTA的原理很相似。而CNTB的時

13、鐘端沒有加使能端,所以每來一個脈沖計數(shù)器加1。因為是0到10循環(huán)計數(shù),從而產(chǎn)生周期性的線性增加的鋸齒波。VHDL語言如下:ENTITY CNTB IS PORT(CLK: IN BIT; Q:BUFFER INTEGER RANGE 15 DOWNTO 0); END;ARCHITECTURE BHV OF CNTB IS BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN IF Q=10 THEN Q<=0; ELSE Q<=Q+1; END IF; END IF; END PROCESS; END

14、 BHV;仿真波形如下:波形分析:在時鐘信號驅(qū)動下,Q從0到10循環(huán)計數(shù),產(chǎn)生數(shù)字鋸齒波。2.2.3 比較器數(shù)字比較器是產(chǎn)生PWM波形的核心組成部件,可控的加減計數(shù)器CNTA和CNTB同時加數(shù)字比較器兩端作為兩路輸入信號,當(dāng)計數(shù)器CNTB輸出值小于CNTA輸出的規(guī)定值時, 比較器輸出高電平; 當(dāng)CNTB輸出值大于CNTA輸出的規(guī)定值時, 比較器輸出低電平。改變常數(shù)的設(shè)定值, 就可以改變PWM輸出信號的占空比。VHDL語言如下:ENTITY comp4_1 IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:IN STD_LOGIC_VECTOR(3 DOW

15、NTO 0); Y: OUT STD_LOGIC);END comp4_1;ARCHITECTURE behave OF comp4_1 IS BEGIN PROCESS (A,B) BEGIN IF (A >= B) THEN Y <='1' ELSE Y <='0' END IF; END PROCESS; END behave;仿真波形如下:波形分析:從圖中看出,當(dāng)A大于等于B時,Y輸出高電平,否則,輸出低電平。2.2.4 分頻器開發(fā)板的時鐘是20M,而輸出的PWM波是10K,一個周期的鋸齒波有10個計數(shù)值組成,所以說分頻系數(shù)為20M/(

16、10K*10)=200,.VHDL語言如下:entity FP_200 is port(clkin:in std_logic; clkout:out std_logic);end FP_200;architecture behav of FP_200 issignal q: std_logic_vector(6 downto 0);signal clk1: std_logic;beginprocess(clkin)Beginif clkin'event and clkin='1' thenif q="" then q<=""

17、clk1<=not clk1; else q<=q +'1'end if;end if;clkout<= clk1;end process;end behav;仿真波形如下:2.2.5 正反轉(zhuǎn)控制電路正/反轉(zhuǎn)方向控制電路由兩個二選一多路選擇器根據(jù)邏輯原理組合而成。Z/F鍵控制選擇PWM波形是從正端Z進入H橋,還是從負(fù)端F進入H橋,以控制電機的旋轉(zhuǎn)方向。當(dāng)Z/F=1時PWM輸出波形從正端Z進入H橋,電機正轉(zhuǎn)。當(dāng) Z/F =0時,PWM輸出波形從負(fù)端F進入H橋,電機反轉(zhuǎn)。3 總體仿真結(jié)果:總仿真結(jié)果分析:1, 占空比從0.1到0.9可調(diào),當(dāng)U_D=1時,按一下K鍵

18、,占空比增加0.1,否則,占空比減少0.1。2, 當(dāng)Z_F=1時,Z端輸出PWM波,控制1.3橋,F(xiàn)端輸出0電平,控制2.4橋,電機正轉(zhuǎn),當(dāng)Z_F=0時,F(xiàn)端輸出PWM波,控制2.4橋,Z端輸出0電平,控制1.3橋,電機正反轉(zhuǎn)。3, 20M開發(fā)板時鐘經(jīng)過200次分頻后,送至鋸齒波發(fā)生器,鋸齒波發(fā)生器為0到10計數(shù)器,所以PWM波的頻率為10K HZ??偨Y(jié)通過仿真,本設(shè)計達到設(shè)計要求,隨著社會的進步,工業(yè)的發(fā)展,直流電機現(xiàn)在正廣泛用于各個領(lǐng)域,如何更好地利用直流電機,運用現(xiàn)代軟件技術(shù),提高其工作效率,發(fā)揮直流電機最大的作用,其首要任務(wù)是是要搞清楚直流電機的工作原理和控制原理,本文主要介紹基于FPGA的直流電機的PWM控制的研究。通過EDA相關(guān)課程的學(xué)習(xí),了解FPGA的數(shù)字PWM控制原理,利用設(shè)置電路參數(shù)來改變PWM的占空比,改變其占空比就可以改變電機的轉(zhuǎn)速。運用QuartusII軟件進行電路設(shè)計,代碼編寫,進行仿真設(shè)計。通過對直流電機的PWM控制研究,了解到現(xiàn)代電子技術(shù)由復(fù)雜到簡單,落后到先進,低效到高效的方向發(fā)展,了解到

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論