微機原理13_串行接口8251ok_第1頁
微機原理13_串行接口8251ok_第2頁
微機原理13_串行接口8251ok_第3頁
微機原理13_串行接口8251ok_第4頁
微機原理13_串行接口8251ok_第5頁
已閱讀5頁,還剩36頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、第十三章第十三章 串行接口串行接口8251及其應(yīng)用及其應(yīng)用串行通信接口串行通信接口 異步通信協(xié)議和異步通信協(xié)議和RS232C接口接口 8251的內(nèi)部結(jié)構(gòu)和編程的內(nèi)部結(jié)構(gòu)和編程 異步通信程序異步通信程序串行通信基礎(chǔ)串行通信基礎(chǔ) 串行通信:將數(shù)據(jù)分解成二進制位用一條串行通信:將數(shù)據(jù)分解成二進制位用一條信號線,一位一位順序傳送的方式信號線,一位一位順序傳送的方式 串行通信的優(yōu)勢:用于通信的線路少,因串行通信的優(yōu)勢:用于通信的線路少,因而在遠距離通信時可以極大地降低成本而在遠距離通信時可以極大地降低成本 串行通信適合于遠距離數(shù)據(jù)傳送,也常用串行通信適合于遠距離數(shù)據(jù)傳送,也常用于速度要求不高的近距離數(shù)據(jù)

2、傳送于速度要求不高的近距離數(shù)據(jù)傳送 PC系列機上有兩個串行異步通信接口、鍵系列機上有兩個串行異步通信接口、鍵盤、鼠標器與主機間采用串行數(shù)據(jù)傳送盤、鼠標器與主機間采用串行數(shù)據(jù)傳送一、一、 異步通信異步通信 串行通信時的數(shù)據(jù)、控制和狀態(tài)信息都使串行通信時的數(shù)據(jù)、控制和狀態(tài)信息都使用同一根信號線傳送用同一根信號線傳送 收發(fā)雙方必須遵守共同的通信協(xié)議(通信收發(fā)雙方必須遵守共同的通信協(xié)議(通信規(guī)程),才能解決傳送速率、信息格式、規(guī)程),才能解決傳送速率、信息格式、位同步、字符同步、數(shù)據(jù)校驗等問題位同步、字符同步、數(shù)據(jù)校驗等問題 串行異步通信以字符為單位進行傳輸,其串行異步通信以字符為單位進行傳輸,其通信

3、協(xié)議是通信協(xié)議是起止式異步通信協(xié)議起止式異步通信協(xié)議1. 起止式異步通信協(xié)議起止式異步通信協(xié)議 起始位每個字符開始傳送的標志,起始位采用邏輯0電平起始位起始位校驗位校驗位停止位停止位空閑位空閑位數(shù)據(jù)位數(shù)據(jù)位低位低位高位高位字符字符0/10/1 0/10/10/10/10/10/11 10 01 11 11 1數(shù)據(jù)位數(shù)據(jù)位數(shù)據(jù)位緊跟著起始位傳送。數(shù)據(jù)位緊跟著起始位傳送。由由58個二進制位組成,低位先傳送個二進制位組成,低位先傳送校驗位校驗位用于校驗是否傳送正確;可用于校驗是否傳送正確;可選擇奇檢驗、偶校驗或不傳送校驗位選擇奇檢驗、偶校驗或不傳送校驗位停止位停止位表示該字符傳送結(jié)束。停止表示該字符

4、傳送結(jié)束。停止位采用邏輯位采用邏輯1電平,可選擇電平,可選擇1、1.5或或2位位空閑位空閑位傳送字符之間的邏輯傳送字符之間的邏輯1電平,電平,表示沒有進行傳送表示沒有進行傳送數(shù)據(jù)傳輸率數(shù)據(jù)傳輸率 每秒傳輸?shù)亩M制位數(shù),單位為每秒傳輸?shù)亩M制位數(shù),單位為bps(bit per second )也稱也稱比特率比特率。 波特率波特率每秒傳輸?shù)拿棵雮鬏數(shù)摹胺柗枴保ㄒ卜Q離散狀態(tài))(也稱離散狀態(tài))的個數(shù)。的個數(shù)?!纠?,每秒傳送例如,每秒傳送1個符號,則波特率為個符號,則波特率為1波特波特】 在計算機中,一個在計算機中,一個“符號符號”的含義為的含義為高、低兩種高、低兩種電平電平,分別代表邏輯值,分別

5、代表邏輯值“1”和和“0”,所以每個符,所以每個符號的信息量為號的信息量為1比特,此時波特率與比特率剛好一比特,此時波特率與比特率剛好一致。致。 但在其他一些場合(例如通信中采用的但在其他一些場合(例如通信中采用的“相一幅相一幅”復(fù)復(fù)合調(diào)制技術(shù)合調(diào)制技術(shù))一個一個“符號符號”的信息含量就不是一個比特,的信息含量就不是一個比特,此時,此時,波特率就不等于波特率就不等于比特率。比特率。 標準標準 波特率系列:波特率系列:50,75,110,150,300,600,1200,2400, 4種相位(種相位(0,90,180,270)每種相位有兩種振幅值每種相位有兩種振幅值實現(xiàn)實現(xiàn) 3位位/波特波特 調(diào)

6、制調(diào)制9001800002700001101011111000100110010 現(xiàn)在的現(xiàn)在的電話網(wǎng)是模擬通信系統(tǒng),它是為傳輸話音電話網(wǎng)是模擬通信系統(tǒng),它是為傳輸話音信息而設(shè)計的。要在電話網(wǎng)上傳送數(shù)字信號,必信息而設(shè)計的。要在電話網(wǎng)上傳送數(shù)字信號,必須經(jīng)過須經(jīng)過調(diào)制調(diào)制和和解調(diào)解調(diào)。 實現(xiàn)調(diào)制和解調(diào)兩個過程的設(shè)備稱為實現(xiàn)調(diào)制和解調(diào)兩個過程的設(shè)備稱為“調(diào)制解調(diào)調(diào)制解調(diào)器器”(Modulator DemodulatorModem) 方法:方法:選取音頻范圍某一頻率的正(余)弦模擬選取音頻范圍某一頻率的正(余)弦模擬信號作為信號作為載波載波,用以運載所要傳送的數(shù)字信號。,用以運載所要傳送的數(shù)字信號。

7、要用傳送的數(shù)字信號改變載波信號的要用傳送的數(shù)字信號改變載波信號的幅值、頻率幅值、頻率或相位或相位,使之在信道上傳送;到達信道另一端,使之在信道上傳送;到達信道另一端,再將數(shù)字信號從載波中取出。再將數(shù)字信號從載波中取出。3. 調(diào)制與解調(diào)調(diào)制與解調(diào)000001111數(shù)字信號數(shù)字信號(a)調(diào)幅按數(shù)字信號的按數(shù)字信號的值改變載波信值改變載波信號的幅度號的幅度(b)調(diào)頻按數(shù)字信號的按數(shù)字信號的值改變載波信值改變載波信號的頻率號的頻率 (c)調(diào)相按數(shù)字信號的按數(shù)字信號的值改變載波信值改變載波信號的相位號的相位4. 發(fā)送時鐘和接收時鐘發(fā)送時鐘和接收時鐘 (串行數(shù)據(jù)輸出)RxCTxCTxD數(shù)據(jù)輸入寄存器輸入移

8、位寄存器1,16,32數(shù)據(jù)輸出寄存器輸出移位寄存器1,16,32CLK(主時鐘)(串行數(shù)據(jù)輸入)輸入移位脈沖輸出移位脈沖接收時鐘發(fā)送時鐘RxD除數(shù)寄存器除數(shù)寄存器5. 起始位的檢測起始位的檢測起始檢測起始檢測確定已檢測到起始位確定已檢測到起始位采樣數(shù)據(jù)采樣數(shù)據(jù)起起 始始 位位時鐘時鐘(RCLK)數(shù)據(jù)線數(shù)據(jù)線(SIN)T16 T16 T8 T數(shù)據(jù)接收時鐘頻率是數(shù)據(jù)傳輸頻率的16倍正確識別起始位,防止因干擾引起的誤識別6. 波特率因子波特率因子F(時鐘頻率)(時鐘頻率)波特率因子波特率因子波特率波特率 波特率因子波特率因子:數(shù)據(jù)傳輸率:數(shù)據(jù)傳輸率(波特率)(波特率)與時鐘頻率與時鐘頻率之間的比例系

9、數(shù)之間的比例系數(shù) 給定時鐘頻率,選擇不同的給定時鐘頻率,選擇不同的波特率因子波特率因子可得到不可得到不同的波特率。同的波特率。例如:例如:f = 19.2 kHz,若選波特率因子為,若選波特率因子為16,則波特,則波特率為率為1200 bps。8251外部的時鐘電路CLK 8253 OUT1,16,1MHzN分頻19.2KHz移位脈沖若選定波特率因子和波特率,則相應(yīng)的確定了對若選定波特率因子和波特率,則相應(yīng)的確定了對時鐘頻率時鐘頻率的的要求。要求。例:例:12001619200(時鐘頻率)(時鐘頻率)若外部時鐘電路的頻率若外部時鐘電路的頻率F1Mhz,需用計數(shù)器,需用計數(shù)器8253分頻,分頻,

10、試計算分頻系數(shù)(試計算分頻系數(shù)(8253的計數(shù)初值)?的計數(shù)初值)?計數(shù)初值計數(shù)初值N時鐘頻率(波特率波特率因子)時鐘頻率(波特率波特率因子)思考思考二、同步通信二、同步通信 以一個數(shù)據(jù)塊(幀)為傳輸單位,每個數(shù)據(jù)以一個數(shù)據(jù)塊(幀)為傳輸單位,每個數(shù)據(jù)塊附加塊附加1個或個或2個同步字符,最后以校驗字符個同步字符,最后以校驗字符結(jié)束結(jié)束 同步通信的數(shù)據(jù)傳輸效率和傳輸速率較高,同步通信的數(shù)據(jù)傳輸效率和傳輸速率較高,但硬件電路比較復(fù)雜但硬件電路比較復(fù)雜 串行同步通信主要應(yīng)用在網(wǎng)絡(luò)當中串行同步通信主要應(yīng)用在網(wǎng)絡(luò)當中 最常使用高級數(shù)據(jù)鏈路控制協(xié)議最常使用高級數(shù)據(jù)鏈路控制協(xié)議HDLC同步字符同步字符數(shù)據(jù)數(shù)

11、據(jù)數(shù)據(jù)數(shù)據(jù)數(shù)據(jù)數(shù)據(jù)校驗字符校驗字符標志01111110地址8位控制8位CRC8位CRC8位標志01111110數(shù)據(jù)場循環(huán)冗余校驗碼與糾錯原理循環(huán)冗余校驗碼與糾錯原理 循環(huán)冗余校驗碼循環(huán)冗余校驗碼(Cyclic Redundancy Checksum,CRC)是常用的信道編碼方式,廣泛應(yīng)用于幀校驗。是常用的信道編碼方式,廣泛應(yīng)用于幀校驗。 設(shè)要傳送的設(shè)要傳送的k位信息碼為位信息碼為(mk-1,mk-2,m1,m0) 所對應(yīng)的多項式為:所對應(yīng)的多項式為: M(x)=mk-1xk-1+ mk-2xk-2+m1x+m0 將其左移將其左移r=n-k位,相當于位,相當于M(x)乘以乘以xr得得xn-k M

12、(x) 引入一個引入一個r位的生成多項式位的生成多項式g (x),可有:,可有: xn-k M(x)/ g (x)=S (x) + r (x) )/ g (x) ;模;模2除除 r (x)就被稱之為就被稱之為CRC校驗碼多項式校驗碼多項式 定義傳送碼字定義傳送碼字 C (x) =xn-k M(x)+ r (x) 這樣組成的這樣組成的k+r位多項式必被位多項式必被g (x) 整除整除循環(huán)冗余校驗碼與糾錯原理循環(huán)冗余校驗碼與糾錯原理 CRC檢錯方法:檢錯方法: 發(fā)送方發(fā)送信息碼,并將其與發(fā)送方發(fā)送信息碼,并將其與g (x) 相除,得到相除,得到r (x) 后,將其系數(shù)拼在后面發(fā)給接收方;后,將其系

13、數(shù)拼在后面發(fā)給接收方; 接收方收到接收方收到c (x)后,將其與后,將其與g (x) 相除,最后被整相除,最后被整除表示除表示 所接收到的數(shù)據(jù)完全正確;不能被整除所接收到的數(shù)據(jù)完全正確;不能被整除表示數(shù)據(jù)傳輸出錯。表示數(shù)據(jù)傳輸出錯。 CRC檢錯碼的檢錯能力與其生成多項式檢錯碼的檢錯能力與其生成多項式g (x) 密密切相關(guān),切相關(guān), g (x) 一般形式為:一般形式為: g(x)=xn-k+ gn-k-1xn-k-1+g1x+1 g (x)的首項系數(shù)為的首項系數(shù)為1,末項系數(shù)也必須為,末項系數(shù)也必須為1, g (x)的次數(shù)越高,其檢錯能力越強。的次數(shù)越高,其檢錯能力越強。 CRC國際上通行的國際

14、上通行的CRC碼生成多項式有:碼生成多項式有: CRC-ITU-T: g(x)=x16+ x12+ x5 +1 CRC-12: g(x)=x12+ x11+ x3 + x2 + x + 1 CRC-16: g(x)=x16+ x15+ x2 +1 CRC-32: g(x)=x32+ x26+ x23 + x22 + x16 + +x12 + x11 + x10 + x8 + x7 + x5 + x4 + x2 + x + 1 CRC-12碼通常用來傳送碼通常用來傳送6bit字符串,字符串, CRC-16 和和CRC-ITU-T碼則多用來傳送碼則多用來傳送8bit字符,字符, CRC-16為美國

15、采用,為美國采用, CRC-ITU-T碼為歐洲國家采用碼為歐洲國家采用, CRC-32碼多被用在點對點通行中。碼多被用在點對點通行中。傳輸制式傳輸制式全雙工全雙工站站A站站B站站A站站B站站A站站B半雙工半雙工單工單工三、串行接口標準三、串行接口標準RS-232C 美國電子工業(yè)協(xié)會美國電子工業(yè)協(xié)會EIA制定的通用標準串行接口制定的通用標準串行接口 1962年公布,年公布,1969年修訂年修訂 1987年年1月正式改名為月正式改名為EIA-232D 設(shè)計目的是用于連接調(diào)制解調(diào)器設(shè)計目的是用于連接調(diào)制解調(diào)器 現(xiàn)已成為數(shù)據(jù)終端設(shè)備現(xiàn)已成為數(shù)據(jù)終端設(shè)備DTE(例如計算機)與數(shù)據(jù)通信設(shè)(例如計算機)與數(shù)

16、據(jù)通信設(shè)備備DCE(例如調(diào)制解調(diào)器)的標準接口(例如調(diào)制解調(diào)器)的標準接口 可實現(xiàn)遠距離通信,也可近距離連接兩臺微機可實現(xiàn)遠距離通信,也可近距離連接兩臺微機 屬于網(wǎng)絡(luò)層次結(jié)構(gòu)中的最低層:物理層屬于網(wǎng)絡(luò)層次結(jié)構(gòu)中的最低層:物理層RS-232C的引腳定義的引腳定義 232C接口標準使用接口標準使用一個一個25針連接器針連接器 絕大多數(shù)設(shè)備只使絕大多數(shù)設(shè)備只使用其中用其中9個信號,個信號,所以就有了所以就有了9針連針連接器接器 232C包括兩個信道:包括兩個信道:主信道和次信道主信道和次信道 次信道為輔助串行次信道為輔助串行通道提供數(shù)據(jù)控制通道提供數(shù)據(jù)控制和通道,但其傳輸和通道,但其傳輸速率比主信道

17、要低速率比主信道要低得多,其他跟主信得多,其他跟主信道相同,通常較少道相同,通常較少使用使用串行通信的接口標準串行通信的接口標準TXDTransmit Data 發(fā)送數(shù)據(jù)發(fā)送數(shù)據(jù)RXDReceive Data 接收數(shù)據(jù)接收數(shù)據(jù)SGSignal Ground 信號地信號地DSRData Set Ready 數(shù)據(jù)通信設(shè)備數(shù)據(jù)通信設(shè)備準備準備好(例如調(diào)制解調(diào)器)好(例如調(diào)制解調(diào)器)DTRData Terminal Ready 數(shù)據(jù)終端設(shè)備準備好數(shù)據(jù)終端設(shè)備準備好 (如微機接口電路如微機接口電路8250/8251) 準備好準備好RTSRequest To Send DTE 請求發(fā)送請求發(fā)送CTSCle

18、ar To Send 清除(允許)發(fā)送清除(允許)發(fā)送,該信號是對該信號是對RTS信號的回答信號的回答DCDCarrier Detect 數(shù)據(jù)載波檢出數(shù)據(jù)載波檢出當本地數(shù)據(jù)設(shè)備收到對方當本地數(shù)據(jù)設(shè)備收到對方數(shù)據(jù)數(shù)據(jù)設(shè)備送來的載波信號時,使設(shè)備送來的載波信號時,使DCD有效,通知數(shù)據(jù)終端準備接收,并且由本地數(shù)據(jù)設(shè)備將接收到有效,通知數(shù)據(jù)終端準備接收,并且由本地數(shù)據(jù)設(shè)備將接收到的載波信號解調(diào)為數(shù)字信號,經(jīng)的載波信號解調(diào)為數(shù)字信號,經(jīng)RXD線送給線送給數(shù)據(jù)終端設(shè)備數(shù)據(jù)終端設(shè)備。RIRing Indicate振鈴信號振鈴信號當本地數(shù)據(jù)設(shè)備收到交換機送來的振鈴呼叫信號時,使該信號當本地數(shù)據(jù)設(shè)備收到交換機

19、送來的振鈴呼叫信號時,使該信號有效,通知數(shù)據(jù)終端設(shè)備已被呼叫。有效,通知數(shù)據(jù)終端設(shè)備已被呼叫。RS-232C的連接的連接 調(diào)制解調(diào)器方式調(diào)制解調(diào)器方式 微機利用微機利用232C接口連接調(diào)制解調(diào)器,用于接口連接調(diào)制解調(diào)器,用于實現(xiàn)通過電話線路的遠距離通信實現(xiàn)通過電話線路的遠距離通信 空空MODEM方式方式 微機利用微機利用232C接口直接連接進行短距離通接口直接連接進行短距離通信。這種連接不使用調(diào)制解調(diào)器,所以被信。這種連接不使用調(diào)制解調(diào)器,所以被稱為零調(diào)制解調(diào)器(稱為零調(diào)制解調(diào)器(Null Modem)連接)連接連接調(diào)制解調(diào)器連接調(diào)制解調(diào)器電話線電話線MODEM微機微機234567820222

20、3456782022MODEM23456782022數(shù)據(jù)裝置準備好數(shù)據(jù)裝置準備好DSRDSR數(shù)據(jù)終端準備好數(shù)據(jù)終端準備好DTRDTR發(fā)送數(shù)據(jù)發(fā)送數(shù)據(jù)TxDTxD接收數(shù)據(jù)接收數(shù)據(jù)RxDRxD請求發(fā)送請求發(fā)送RTSRTS允許發(fā)送允許發(fā)送CTSCTS信號地信號地GNDGND載波檢測載波檢測CDCD振鈴指示振鈴指示RIRI微機微機23456782022不使用聯(lián)絡(luò)信號的不使用聯(lián)絡(luò)信號的3線相連方式線相連方式微機微機TxDRxDGND微機微機為了交換信息,為了交換信息,TxD和和RxD應(yīng)當交叉連接應(yīng)當交叉連接程序中不必使程序中不必使RTS和和DTR有效有效也不應(yīng)檢測也不應(yīng)檢測CTS和和DSR是否有效是否有

21、效 “偽偽”使用聯(lián)絡(luò)信號的使用聯(lián)絡(luò)信號的3線相連方式線相連方式RTS和和CTS各自互接,各自互接,DTR和和DSR各自互接各自互接表明請求傳送總是允許、數(shù)據(jù)裝置總準備好表明請求傳送總是允許、數(shù)據(jù)裝置總準備好微機微機DSRDTRTxDRxDRTSCTSGND微機微機使用聯(lián)絡(luò)信號的多線相連方式使用聯(lián)絡(luò)信號的多線相連方式通信比較可靠通信比較可靠所用連線較多,不如前者經(jīng)濟所用連線較多,不如前者經(jīng)濟微機微機DSRDTRTxDRxDRTSCTSGND微機微機RS-232C的電氣特性的電氣特性 232C接口采用接口采用EIA電平電平 高電平為高電平為3V15V 低電平為低電平為3V15V 實際常用實際常用1

22、2V或或15Vn標準標準TTL電平電平n高電平:高電平:2.4V5Vn低電平:低電平:0V0.4V相互轉(zhuǎn)換相互轉(zhuǎn)換TTL電平電平RS-232C電平:電平:MC1488RS-232C電平電平TTL電平:電平:MC1489四、通用異步接收發(fā)送器四、通用異步接收發(fā)送器8251 串行傳輸,需要并行到串行或串行到并行的串行傳輸,需要并行到串行或串行到并行的轉(zhuǎn)換,并按照傳輸協(xié)議發(fā)送和接收每個字符轉(zhuǎn)換,并按照傳輸協(xié)議發(fā)送和接收每個字符(或數(shù)據(jù)塊)(或數(shù)據(jù)塊) 這些工作可由軟件實現(xiàn),也可用硬件實現(xiàn)這些工作可由軟件實現(xiàn),也可用硬件實現(xiàn) 通用異步接收發(fā)送器通用異步接收發(fā)送器UART是串行異步通信是串行異步通信的接

23、口電路芯片的接口電路芯片 典型芯片如:典型芯片如: Intel 8251,Zilog SIO,Motorola ACIA 后來使用后來使用NS165501. 串行數(shù)據(jù)的發(fā)送串行數(shù)據(jù)的發(fā)送并行數(shù)據(jù)并行數(shù)據(jù)加入起始位、加入起始位、校驗位、停止位校驗位、停止位串行數(shù)據(jù)串行數(shù)據(jù)CPU發(fā)送數(shù)據(jù)寄存器發(fā)送數(shù)據(jù)寄存器發(fā)送移位寄存器發(fā)送移位寄存器同步控制同步控制8251SOUT0/10/1 0/10/10/10/10/10/1雙緩沖寄存器結(jié)構(gòu)雙緩沖寄存器結(jié)構(gòu)保證數(shù)據(jù)的連續(xù)發(fā)送保證數(shù)據(jù)的連續(xù)發(fā)送2. 串行數(shù)據(jù)的接收串行數(shù)據(jù)的接收并行數(shù)據(jù)并行數(shù)據(jù)檢測接收錯誤檢測接收錯誤刪除起始位、刪除起始位、校驗位、停止位校驗位

24、、停止位串行數(shù)據(jù)串行數(shù)據(jù)CPU接收緩沖寄存器接收緩沖寄存器接收移位寄存器接收移位寄存器同步控制同步控制8251SIN0/10/1 0/10/10/10/10/10/1雙緩沖寄存器結(jié)構(gòu)保證數(shù)據(jù)的連續(xù)接收3. 接收錯誤的處理接收錯誤的處理 奇偶錯誤奇偶錯誤PE(Parity Error) 若接收到的字符的若接收到的字符的“1”的個數(shù)不符合奇偶校驗要求的個數(shù)不符合奇偶校驗要求 幀錯誤幀錯誤FE(Frame Error) 若接收到的字符格式不符合規(guī)定(如缺少停止位)若接收到的字符格式不符合規(guī)定(如缺少停止位) 溢出錯誤溢出錯誤OE(Overrun Error) 若接收移位寄存器接收到一個數(shù)據(jù),并送至輸

25、入緩沖若接收移位寄存器接收到一個數(shù)據(jù),并送至輸入緩沖器時,器時,CPU還未取走前一個數(shù)據(jù),就會出現(xiàn)數(shù)據(jù)溢出還未取走前一個數(shù)據(jù),就會出現(xiàn)數(shù)據(jù)溢出 若接收緩沖器的級數(shù)多,則溢出錯誤的幾率就少若接收緩沖器的級數(shù)多,則溢出錯誤的幾率就少8251的內(nèi)部結(jié)構(gòu)的內(nèi)部結(jié)構(gòu)8251A的讀的讀/寫控制真值表寫控制真值表8251A規(guī)定:規(guī)定:復(fù)位后的第一個控制命令是復(fù)位后的第一個控制命令是方式選擇控制字方式選擇控制字 8251A方式選擇控制字方式選擇控制字 操作命令控制字直接讓操作命令控制字直接讓8251A實現(xiàn)某種操作或進實現(xiàn)某種操作或進入規(guī)定的工作狀態(tài),它只有在設(shè)定了方式選擇控入規(guī)定的工作狀態(tài),它只有在設(shè)定了方式

26、選擇控制字后,才能由制字后,才能由CPU寫入。寫入。8251A操作命令控制字操作命令控制字 CPU可在可在8251A工作過程中利用輸入指令讀取當前工作過程中利用輸入指令讀取當前8251A的狀態(tài)字,從而可以檢測接口和數(shù)據(jù)傳輸?shù)牡臓顟B(tài)字,從而可以檢測接口和數(shù)據(jù)傳輸?shù)墓ぷ鳡顟B(tài)。工作狀態(tài)。8251A的狀態(tài)字的狀態(tài)字8251A初始初始化和數(shù)據(jù)傳化和數(shù)據(jù)傳送流程圖送流程圖8251編程示例編程示例例:編寫例:編寫8251異步模式下的接收和發(fā)送程序,完異步模式下的接收和發(fā)送程序,完成成256個字符的發(fā)送和接收,設(shè)端口地址:個字符的發(fā)送和接收,設(shè)端口地址:208H,209H,波特率因子,波特率因子16,1起始位,起始位,1停止位,無奇停止位,無奇偶校驗,每字符偶校驗,每字符8位。位。發(fā)送數(shù)據(jù)發(fā)送數(shù)據(jù)256字節(jié),放在字節(jié),放在OUTBUF中中Data segmentOUTBUF DB xx, xx, xx,. ;共;共256字節(jié)字節(jié)Data ends MOV DX,209H MOV AL,40H;復(fù)位命令。;復(fù)位命令。 OUT DX,AL MOV AL,01001110B ;模式

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論