定點(diǎn)除法運(yùn)算_第1頁
定點(diǎn)除法運(yùn)算_第2頁
定點(diǎn)除法運(yùn)算_第3頁
定點(diǎn)除法運(yùn)算_第4頁
定點(diǎn)除法運(yùn)算_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 2.4定點(diǎn)除法運(yùn)算定點(diǎn)除法運(yùn)算 兩個原碼表示的數(shù)相除時(shí)兩個原碼表示的數(shù)相除時(shí),商的符號由兩數(shù)的符號按位相加求商的符號由兩數(shù)的符號按位相加求得得,商的數(shù)值部分由兩數(shù)的數(shù)值部分相除求得。商的數(shù)值部分由兩數(shù)的數(shù)值部分相除求得。設(shè)有被除數(shù)設(shè)有被除數(shù),其原碼為其原碼為原原f .n110 除數(shù)除數(shù),其原碼為其原碼為 原原f .n110 則有商則有商q/,其原碼為其原碼為 q原原(f f)+(0.n110/0.n110)商的符號運(yùn)算商的符號運(yùn)算qff f與原碼乘法一樣與原碼乘法一樣,用模用模2求和得到。求和得到。商的數(shù)值部分的運(yùn)算商的數(shù)值部分的運(yùn)算,實(shí)質(zhì)上是兩個正數(shù)求商的運(yùn)算。根據(jù)我們實(shí)質(zhì)上是兩個正數(shù)求商

2、的運(yùn)算。根據(jù)我們所熟知的十進(jìn)制除法運(yùn)算方法所熟知的十進(jìn)制除法運(yùn)算方法,很容易得到二進(jìn)制數(shù)的除法運(yùn)算很容易得到二進(jìn)制數(shù)的除法運(yùn)算方法方法,所不同的只是在二進(jìn)制中所不同的只是在二進(jìn)制中,商的每一位不是商的每一位不是“1”就是就是“0”,其其運(yùn)算法則更簡單一些。運(yùn)算法則更簡單一些。 下面僅討論數(shù)值部分的運(yùn)算。由于定點(diǎn)數(shù)的絕對值小于下面僅討論數(shù)值部分的運(yùn)算。由于定點(diǎn)數(shù)的絕對值小于1,如,如果被除數(shù)大于或等于除數(shù)則商就大于或等于果被除數(shù)大于或等于除數(shù)則商就大于或等于 1,因而會產(chǎn),因而會產(chǎn)生溢出,這是不允許的生溢出,這是不允許的.因此在執(zhí)行除法以前,先要判別是否因此在執(zhí)行除法以前,先要判別是否溢出,不溢

3、出時(shí)才執(zhí)行除法運(yùn)算,否則除法就不進(jìn)行,并由溢出,不溢出時(shí)才執(zhí)行除法運(yùn)算,否則除法就不進(jìn)行,并由程序進(jìn)行處理程序進(jìn)行處理 .判別溢出的萬法是被除數(shù)減去除數(shù),若差為判別溢出的萬法是被除數(shù)減去除數(shù),若差為正,即最高位有進(jìn)位輸出就表示溢出正,即最高位有進(jìn)位輸出就表示溢出 . 設(shè)被除數(shù)設(shè)被除數(shù)0.1001,除數(shù)除數(shù)0.1011,模仿十進(jìn)制除法運(yùn)算模仿十進(jìn)制除法運(yùn)算,以手算方法求以手算方法求的過程如下的過程如下: 0.1 1 0 1商商q 0.1 0 1 1 0.1 0 0 1 0(r0)被除數(shù)小于除數(shù),商被除數(shù)小于除數(shù),商0 0.0 1 0 1 121除數(shù)右移除數(shù)右移1位位,減除數(shù),商減除數(shù),商1 0.

4、0 0 1 1 1 0 r1得余數(shù)得余數(shù)r1 0.0 0 1 0 1 122除數(shù)右移除數(shù)右移1位位,減除數(shù),商減除數(shù),商1 0.0 0 0 0 1 1 0 r2得余數(shù)得余數(shù)r2 0.0 0 0 1 0 1 1 23除數(shù)右移除數(shù)右移1位位,不減除數(shù),商不減除數(shù),商00.0 0 0 0 1 1 0 0 r3得余數(shù)得余數(shù)r30.0 0 0 0 1 0 1 1 24除數(shù)除數(shù)右移右移1位位,減減除數(shù),商除數(shù),商10.0 0 0 0 0 0 0 1 r4得余數(shù)得余數(shù)r4得得的商的商q0.1101,余數(shù)為余數(shù)為r0.00000001。 在計(jì)算機(jī)中在計(jì)算機(jī)中,小數(shù)點(diǎn)是固定的小數(shù)點(diǎn)是固定的,不能簡單地采用手算的

5、辦法。為不能簡單地采用手算的辦法。為便于機(jī)器操作便于機(jī)器操作,除數(shù)除數(shù)y固定不動(小數(shù)點(diǎn)固定),使被除數(shù)和余固定不動(小數(shù)點(diǎn)固定),使被除數(shù)和余數(shù)進(jìn)行左移(相當(dāng)于乘數(shù)進(jìn)行左移(相當(dāng)于乘2),其效果與筆算是相同的),其效果與筆算是相同的.例如:例如: 0 0.1 1 0 1 商商q 0.1 0 1 1 0 0.1 0 0 1 y,商,商1 1 1.0 1 0 1 減減y,即,即+-y補(bǔ)補(bǔ) 0 0.0 1 1 1 第一次余數(shù)第一次余數(shù)r1 0 0.1 1 1 0 左移左移1位位, 2r1y,商,商1 1 1.0 1 0 1 減減y 0 0.0 0 1 1 第二次余數(shù)第二次余數(shù)r2 0 0. 0 1

6、 1 0 左移左移1位位, 2r2y,商,商0 0 0. 1 1 0 0 左移左移1位位, r3=4r2y,商,商1 1 1. 0 1 0 1 減減y 0 0. 0 0 0 1 第四次余數(shù)第四次余數(shù)r4 和手算結(jié)果相比,結(jié)果是一樣的,只是余數(shù)不是真正的和手算結(jié)果相比,結(jié)果是一樣的,只是余數(shù)不是真正的余數(shù)余數(shù).由于每次所得的余數(shù)多乘了由于每次所得的余數(shù)多乘了2,求得小數(shù)點(diǎn)后的,求得小數(shù)點(diǎn)后的n位位商后,相當(dāng)于多乘了商后,相當(dāng)于多乘了2n,故最后的正確余數(shù)應(yīng)為,故最后的正確余數(shù)應(yīng)為2-nrn.很很 顯然,用余數(shù)左移來代替除數(shù)右移,可使顯然,用余數(shù)左移來代替除數(shù)右移,可使“余數(shù)左移余數(shù)左移”和和“左

7、移上商左移上商”的左移操作統(tǒng)一起來。的左移操作統(tǒng)一起來。1. 恢復(fù)余數(shù)法恢復(fù)余數(shù)法 事實(shí)上事實(shí)上,機(jī)器的運(yùn)算過程和人畢竟不同機(jī)器的運(yùn)算過程和人畢竟不同,人會心算人會心算,一看就一看就知道夠不夠減。但機(jī)器卻不會心算知道夠不夠減。但機(jī)器卻不會心算,必須先作減法必須先作減法,若余數(shù)若余數(shù)為正為正,才知道夠減;若余數(shù)為負(fù)才知道夠減;若余數(shù)為負(fù),才知道不夠減。不夠減時(shí)才知道不夠減。不夠減時(shí)必須恢復(fù)原來的余數(shù)必須恢復(fù)原來的余數(shù),以便再繼續(xù)往下運(yùn)算。這種方法稱以便再繼續(xù)往下運(yùn)算。這種方法稱為為恢復(fù)余數(shù)法恢復(fù)余數(shù)法。要恢復(fù)原來的余數(shù)。要恢復(fù)原來的余數(shù),只要當(dāng)前的余數(shù)加上只要當(dāng)前的余數(shù)加上除數(shù)即可。除數(shù)即可。例

8、例1 x=0.1001, y=0.1011,用恢復(fù)余數(shù)發(fā)求,用恢復(fù)余數(shù)發(fā)求x y。解:解:x補(bǔ)補(bǔ)=x補(bǔ)補(bǔ)=0.1001 y補(bǔ)補(bǔ)=0.1011 -y補(bǔ)補(bǔ)=1.0101 被除數(shù)被除數(shù)x/余數(shù)余數(shù)r 商數(shù)商數(shù)q 0 0.1 0 0 1 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 1 1.1 1 1 0 +y補(bǔ)補(bǔ) 0 0.1 0 1 1 0 0.1 0 0 1 0 1.0 0 1 0 0 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 0 0.0 1 1 1 0 0.1 1 1 0 0.1 +-y補(bǔ) 1 1.0 1 0 1 0 0.0 0 1 1 0 0.0 1 1 0 0.11 +-y補(bǔ) 1 1.0 1 0 1 1 1

9、.1 0 1 1 +y補(bǔ) 0 0.1 0 1 1 0 0.0 1 1 0 0 0.1 1 0 0 0.110 +-y補(bǔ) 1 1.0 1 0 1 0 0.0 0 0 1 0 0.0 0 0 1 0.1101故得:故得:q原原=0.1101, 余數(shù)余數(shù)r原原=0.0001 即即r=2-4 r42.加減交替法加減交替法 對恢復(fù)余數(shù)法來說對恢復(fù)余數(shù)法來說,由于要恢復(fù)余數(shù)由于要恢復(fù)余數(shù),使除法進(jìn)行過程的步數(shù)使除法進(jìn)行過程的步數(shù)不固定不固定,因此控制比較復(fù)雜。實(shí)際中常用不恢復(fù)余數(shù)法因此控制比較復(fù)雜。實(shí)際中常用不恢復(fù)余數(shù)法,又稱又稱加減交替法。其特點(diǎn)是運(yùn)算過程中如出現(xiàn)不夠減加減交替法。其特點(diǎn)是運(yùn)算過程中如出

10、現(xiàn)不夠減,則不必恢復(fù)則不必恢復(fù)余數(shù)余數(shù),根據(jù)余數(shù)符號根據(jù)余數(shù)符號,可以繼續(xù)往下運(yùn)算可以繼續(xù)往下運(yùn)算,因此步數(shù)固定因此步數(shù)固定,控制簡控制簡單。單。 原碼加減交替法的規(guī)則是:原碼加減交替法的規(guī)則是:當(dāng)余數(shù)為正時(shí),商當(dāng)余數(shù)為正時(shí),商“1”,余數(shù),余數(shù)左移一位,減除數(shù);當(dāng)余數(shù)為負(fù)時(shí),商左移一位,減除數(shù);當(dāng)余數(shù)為負(fù)時(shí),商“0”,余數(shù)左移一位,余數(shù)左移一位,加除數(shù)。證明如下:加除數(shù)。證明如下: 除數(shù)每一步運(yùn)算所得的余數(shù)除數(shù)每一步運(yùn)算所得的余數(shù)ri (i=1,2, ,n,r0=x)可以通過蔣可以通過蔣前一步的余數(shù)前一步的余數(shù)ri-1(第一步為第一步為r0=x)左移一位減除數(shù)左移一位減除數(shù)y得到,即得到,即

11、 ri=2ri-1-y 如果如果ri0,商上,商上“0”,并恢復(fù)余數(shù),并恢復(fù)余數(shù)(即即+y),然后左移一位(即然后左移一位(即乘乘2),再做減),再做減y運(yùn)算,得到運(yùn)算,得到ri-1,即即 ri+1=2(ri+y)-y=2ri+y 這說明,第這說明,第i步除數(shù)的余數(shù)步除數(shù)的余數(shù)ri=2ri-1-y若為負(fù),要求得下一若為負(fù),要求得下一步的新余數(shù)步的新余數(shù)ri+1,不必恢復(fù)余數(shù),只要將,不必恢復(fù)余數(shù),只要將ri左移一位(乘左移一位(乘2)再加上再加上y即得即得ri+1 ,然后再由,然后再由ri+1的正負(fù)決定上商值。的正負(fù)決定上商值。例例2 x=0.1001, y=0.1011 ,用加減交替法求用加

12、減交替法求x/y。解:解:x原原=x補(bǔ)補(bǔ)=x=0.1001, y補(bǔ)補(bǔ)=0.1011, -y補(bǔ)補(bǔ)=1.0101 被除數(shù)被除數(shù)x/余數(shù)余數(shù)r 商數(shù)商數(shù)q 0 0.1 0 0 1 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 1 1.1 1 1 0 1 1.1 1 0 0 0 +y補(bǔ)補(bǔ) 0 0.1 0 1 1 0 0.0 1 1 1 0 0.1 1 1 0 0.1 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 0 0.0 0 1 1 0 0.0 1 1 0 0.11 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 1 1.1 0 1 1 1 1. 0 1 1 0 0.110 +y補(bǔ)補(bǔ) 0 0.1 0 1 1 0 0.0 0 0 1

13、 0.1101 商商“1”。僅。僅q左移一位左移一位得得 q=x=0.1101,余數(shù)余數(shù) r=2-4xr4二二.補(bǔ)碼一位除法補(bǔ)碼一位除法 和補(bǔ)碼加、減、乘法一樣,補(bǔ)碼除法也應(yīng)當(dāng)是符號位與數(shù)和補(bǔ)碼加、減、乘法一樣,補(bǔ)碼除法也應(yīng)當(dāng)是符號位與數(shù)位位一起參加運(yùn)算,商的符號位與數(shù)位由統(tǒng)一的算法求得。位位一起參加運(yùn)算,商的符號位與數(shù)位由統(tǒng)一的算法求得。1.補(bǔ)碼加減交替法算法補(bǔ)碼加減交替法算法 在補(bǔ)碼一位除法中也必須比較被除數(shù)(余數(shù))和除數(shù)的大在補(bǔ)碼一位除法中也必須比較被除數(shù)(余數(shù))和除數(shù)的大小,并根據(jù)比較的結(jié)果上商。令外,未了避免溢出,商的絕小,并根據(jù)比較的結(jié)果上商。令外,未了避免溢出,商的絕對值不能大于

14、對值不能大于1,即被除數(shù)的絕對值一定要小于除數(shù)的絕對,即被除數(shù)的絕對值一定要小于除數(shù)的絕對值。值。補(bǔ)碼加減交替法的算法規(guī)則如下:補(bǔ)碼加減交替法的算法規(guī)則如下: (1) 求第一位商要判數(shù)符號的同異,被除數(shù)與除數(shù)同號,求第一位商要判數(shù)符號的同異,被除數(shù)與除數(shù)同號,被除數(shù)減除數(shù);被除數(shù)與除數(shù)異號,被除數(shù)加除數(shù)。被除數(shù)減除數(shù);被除數(shù)與除數(shù)異號,被除數(shù)加除數(shù)。 (2)余數(shù)左移一位,上商,余數(shù)與除數(shù)同號,商,下次減)余數(shù)左移一位,上商,余數(shù)與除數(shù)同號,商,下次減除數(shù),求下位商。余數(shù)與除數(shù)異號,商,下次加除數(shù),求除數(shù),求下位商。余數(shù)與除數(shù)異號,商,下次加除數(shù),求下位商下位商; (3)重復(fù)步驟()重復(fù)步驟(2

15、),包括符號位在內(nèi),共做),包括符號位在內(nèi),共做n+1步。步。例例3 x=+0.1001, y=+0.1011,求求x y補(bǔ)補(bǔ) 解:解: x補(bǔ)補(bǔ)=0.1001 , y補(bǔ)補(bǔ)=0.1011 -y補(bǔ)補(bǔ)=1.0101 被除數(shù)被除數(shù)x/余數(shù)余數(shù)r 商數(shù)商數(shù)q 0 0.1 0 0 1 1 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 1 1.1 1 1 0 1 1.1 1 0 0 1 0 +y補(bǔ)補(bǔ) 0 0.1 0 1 1 0 0.0 1 1 1 0 0.1 1 1 0 1 0 1 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 0 0.0 0 1 1 0 0.0 1 1 0 1 011 +-y補(bǔ)補(bǔ) 1 1.0 1 0 1 1

16、1.1 0 1 1 1 1. 0 1 1 0 1 0110 +y補(bǔ)補(bǔ) 0 0.1 0 1 1 0 0.0 0 0 1 0 0.0 0 0 1 0.1101 商商“1”。僅。僅q左移一位左移一位,余數(shù)不左移余數(shù)不左移 故得故得 :q補(bǔ)補(bǔ)=0.1101,余數(shù)余數(shù) r補(bǔ)補(bǔ)=0.0001xr4 設(shè)設(shè)ta為加法操作時(shí)間,為為加法操作時(shí)間,為tr左移操作時(shí)間,則做一次補(bǔ)碼一位左移操作時(shí)間,則做一次補(bǔ)碼一位除法的總時(shí)間為除法的總時(shí)間為 tc=(n+1)(ta+tr)+tr其中其中n為尾數(shù)位數(shù)。當(dāng)加法操作與移位操作在同一操作步驟中為尾數(shù)位數(shù)。當(dāng)加法操作與移位操作在同一操作步驟中完成時(shí),完成時(shí), tc=(n+1

17、)ta+tr2.商的修正:商的修正: 一般情況采用未位恒置一般情況采用未位恒置“1”的方式進(jìn)行舍入。的方式進(jìn)行舍入。 如果需要進(jìn)一步以高精度,可以不用未位恒置如果需要進(jìn)一步以高精度,可以不用未位恒置“1”的方式的方式舍入,而按上述法則多求一位后,再采用如下修正方法對商舍入,而按上述法則多求一位后,再采用如下修正方法對商進(jìn)行處理:進(jìn)行處理: (1) 剛好能除盡時(shí),如果除數(shù)為正,商不必修正;如果除數(shù)剛好能除盡時(shí),如果除數(shù)為正,商不必修正;如果除數(shù)為負(fù),則商加為負(fù),則商加2-n. (2) 不能除盡時(shí),如果商為正,則不必修正,如果商為負(fù),不能除盡時(shí),如果商為正,則不必修正,如果商為負(fù),則商加則商加2-

18、n.3.并行除法器并行除法器 (1).可控加法可控加法/減法減法(CAS)單元單元 和陣列乘法器非常相似和陣列乘法器非常相似,陣列式除陣列式除法器也是一種并行運(yùn)算部件法器也是一種并行運(yùn)算部件,采用采用大規(guī)模集成電路制造大規(guī)模集成電路制造.與早期的串與早期的串行除法器相比行除法器相比,陣列除法器不僅所陣列除法器不僅所需的控制線路少需的控制線路少,而且能提供令人而且能提供令人滿意的高速運(yùn)算速度。陣列除法滿意的高速運(yùn)算速度。陣列除法器有多種多樣形式器有多種多樣形式,如不恢復(fù)余數(shù)如不恢復(fù)余數(shù)陣列除法器陣列除法器,補(bǔ)碼陣列除法器等等。補(bǔ)碼陣列除法器等等。首先介紹可控加法首先介紹可控加法/減法減法(CAS

19、)單單元元,它將用于并行除法流水邏輯陣它將用于并行除法流水邏輯陣列中,它有四個輸出端和四個輸列中,它有四個輸出端和四個輸入端。當(dāng)輸入線入端。當(dāng)輸入線P0時(shí)時(shí),CAS作加作加法運(yùn)算;當(dāng)法運(yùn)算;當(dāng)P1時(shí)時(shí),CAS作減法運(yùn)作減法運(yùn)算。邏輯結(jié)構(gòu)圖算。邏輯結(jié)構(gòu)圖 如圖示如圖示CAS單元的輸入與輸出的關(guān)系可用如下一組邏輯方程來表示:單元的輸入與輸出的關(guān)系可用如下一組邏輯方程來表示: Si=Ai (Bi P) Ci Ci+1=(Ai+Ci)(Bi P)+AiCi (2.40) 當(dāng)當(dāng) P=0時(shí),方程式(時(shí),方程式(2.40)就是我們熟悉的一位全加器()就是我們熟悉的一位全加器(FA)的公式:的公式: Si=A

20、i Bi Ci Ci+1=AiBi+BiCi+AiCi當(dāng)當(dāng) P=1時(shí)。則得求差公式:時(shí)。則得求差公式: Si=Ai Bi Ci Ci+1=AiBi +BiCi + AiCi (2.41)其中其中 Bi=Bi 1. 在減法情況下,輸入在減法情況下,輸入Ci稱為借位輸入,而稱為借位輸入,而Ci1稱為借位輸出稱為借位輸出.為說明為說明CAS單元的實(shí)際內(nèi)部電路實(shí)現(xiàn)單元的實(shí)際內(nèi)部電路實(shí)現(xiàn),將方程式將方程式(2.40)加以變換加以變換,可得如下形式:可得如下形式:SiAi (Bi P) CiAiBiCiPAiBiCiPAiBiCiPAiBiCiPAiBiCiPAiBiCiPAiBiCiPAiBiCiP C

21、i1(AiCi)(Bi P)AiCi AiBiPAiBiPBiCiPBiCiPAiCi 在這兩個表達(dá)式中在這兩個表達(dá)式中,每一個都能用一個三級組合邏輯電路每一個都能用一個三級組合邏輯電路(包包括反向器括反向器)來實(shí)現(xiàn)。因此每一個基本的來實(shí)現(xiàn)。因此每一個基本的CAS單元的延遲時(shí)間為單元的延遲時(shí)間為3T單元。單元。2.不恢復(fù)余數(shù)的陣列除法器不恢復(fù)余數(shù)的陣列除法器假定所有被處理的數(shù)都是正的小數(shù)。假定所有被處理的數(shù)都是正的小數(shù)。不恢復(fù)余數(shù)的除法也就是加減交替法。在不恢復(fù)余數(shù)的除不恢復(fù)余數(shù)的除法也就是加減交替法。在不恢復(fù)余數(shù)的除法陣列中法陣列中,每一行所執(zhí)行的操作究竟是加法還是減法每一行所執(zhí)行的操作究竟

22、是加法還是減法,取決于前一取決于前一行輸出的符號與被除數(shù)的符號是否一致。當(dāng)出現(xiàn)不夠減時(shí)行輸出的符號與被除數(shù)的符號是否一致。當(dāng)出現(xiàn)不夠減時(shí),部分部分余數(shù)相對于被除數(shù)來說要改變符號。這時(shí)應(yīng)該產(chǎn)生一個商位余數(shù)相對于被除數(shù)來說要改變符號。這時(shí)應(yīng)該產(chǎn)生一個商位“0”,除數(shù)首先沿對角線右移除數(shù)首先沿對角線右移,然后加到下一行的部分余數(shù)上。當(dāng)然后加到下一行的部分余數(shù)上。當(dāng)部分余數(shù)不改變它的符號時(shí)部分余數(shù)不改變它的符號時(shí),即產(chǎn)生商位即產(chǎn)生商位“1”,下一行的操作應(yīng)該下一行的操作應(yīng)該是減法。下圖示出了是減法。下圖示出了4位除位除4位的不恢復(fù)余數(shù)陣列除法器的邏輯位的不恢復(fù)余數(shù)陣列除法器的邏輯原理圖。其中原理圖。其

23、中 被除數(shù)被除數(shù)0.123456 (雙倍長雙倍長)除數(shù)除數(shù)0.123商數(shù)商數(shù)0.q1q2q3余數(shù)余數(shù)0.00r3r4r5r6字長字長 n14 由圖看出由圖看出,該陣列除法器是用一個可控加法該陣列除法器是用一個可控加法/減法減法(CAS)單元所單元所組成的流水陣列來實(shí)現(xiàn)的。推廣到一般情況組成的流水陣列來實(shí)現(xiàn)的。推廣到一般情況,一個一個(n1)位除位除(n1)位的加減交替除法陣列由位的加減交替除法陣列由(n1)2個個CAS單元組成單元組成,其中其中兩個操作數(shù)兩個操作數(shù)(被除數(shù)與除數(shù)被除數(shù)與除數(shù))都是正的。都是正的。 單元之間的互連是用單元之間的互連是用n3的陣列來表示的。這里被除數(shù)的陣列來表示的。

24、這里被除數(shù)是是一個一個6位的小數(shù)位的小數(shù)(雙倍長度值雙倍長度值): 0.123456 它是由頂部一行和最右邊的對角線上的垂直輸入線來提供的。它是由頂部一行和最右邊的對角線上的垂直輸入線來提供的。 除數(shù)是一個除數(shù)是一個3位的小數(shù):位的小數(shù): 0.123它沿對角線方向進(jìn)入這個陣列。這是因?yàn)樗貙蔷€方向進(jìn)入這個陣列。這是因?yàn)?在除法中所需要的在除法中所需要的部分余數(shù)的左移部分余數(shù)的左移,可以用下列等效的操作來代替:即讓余數(shù)??梢杂孟铝械刃У牟僮鱽泶妫杭醋層鄶?shù)保持固定持固定,而將除數(shù)沿對角線右移。而將除數(shù)沿對角線右移。圖2.9不恢復(fù)余數(shù)陣列除法器邏輯結(jié)構(gòu)圖商商q是一個是一個3位的小數(shù):位的小數(shù):

25、q0.q1q2q3 它在陣列的左邊產(chǎn)生。余數(shù)它在陣列的左邊產(chǎn)生。余數(shù)r是一個是一個6位的小數(shù):位的小數(shù):r0.00r3r4r5r6 它在陣列的最下一行產(chǎn)生。它在陣列的最下一行產(chǎn)生。 最上面一行所執(zhí)行的初始操作經(jīng)常是減法。因此最上面一最上面一行所執(zhí)行的初始操作經(jīng)常是減法。因此最上面一行的控制線行的控制線P固定置成固定置成“1”。減法是用。減法是用2的補(bǔ)碼運(yùn)算來實(shí)現(xiàn)的補(bǔ)碼運(yùn)算來實(shí)現(xiàn)的的,這時(shí)右端各這時(shí)右端各CAS單元上的反饋線用作初始的進(jìn)位輸入。單元上的反饋線用作初始的進(jìn)位輸入。每一行最左邊的單元的進(jìn)位輸出決定著商的數(shù)值。將當(dāng)前每一行最左邊的單元的進(jìn)位輸出決定著商的數(shù)值。將當(dāng)前的商反饋到下一行的商反饋到下一行,我們就能確定下一行的操作。由于進(jìn)位我們就能確定下一行的操作。由于進(jìn)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論