可控計數(shù)器的設(shè)計_第1頁
可控計數(shù)器的設(shè)計_第2頁
可控計數(shù)器的設(shè)計_第3頁
可控計數(shù)器的設(shè)計_第4頁
可控計數(shù)器的設(shè)計_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、精選文檔可編程器件課程設(shè)計報告課題: 可控計數(shù)器的設(shè)計 班級 學(xué)號 同學(xué)姓名 專業(yè) 電子科學(xué)與技術(shù) 系別 電子信息工程系 指導(dǎo)老師 淮陰工學(xué)院電子與電氣工程學(xué)院2014年11月可控計數(shù)器的設(shè)計一、設(shè)計目的可編程器件課程設(shè)計是一項重要的實踐性教育環(huán)節(jié),是同學(xué)在校期間必需接受的一項工程訓(xùn)練。在課程設(shè)計過程中,在老師指導(dǎo)下,運用工程的方法,通過一個簡潔課題的設(shè)計練習(xí),可是同學(xué)通過綜合的系統(tǒng)設(shè)計,生疏應(yīng)用系統(tǒng)的是設(shè)計過程、設(shè)計要求、完成的工作內(nèi)容和具體的設(shè)計方法,了解必需提交的各項工程文件,也達到鞏固、充實和綜合運用所學(xué)學(xué)問解決實際問題的目的。通過課程設(shè)計,應(yīng)能加強同學(xué)如下力量的培育:(1) 獨立工作

2、力量和制造力;(2) 綜合運用專業(yè)及基礎(chǔ)學(xué)問,解決實際工程技術(shù)問題的力量;(3) 查閱圖書資料、產(chǎn)品手冊和各種工具書的力量;(4) 工程繪圖的力量;(5) 編寫技術(shù)報告和編制技術(shù)資料的力量。二、設(shè)計要求1 1、設(shè)計一個五進制的計數(shù)器,由兩個把握鍵SEL把握不同的計數(shù)方式2 2、當(dāng)SEL=00時,按0、1、2、3、4、0、1、2,3、4···挨次計數(shù)3 3、當(dāng)SEL=01時,按0、2、4、6、8、0、2、4、6、8···挨次計數(shù) 4 4、當(dāng)SEL=10時,按1、3、5、7、9、1、3、5、7、9···挨次計

3、數(shù)5 5、當(dāng)SEL=11時,按5、4、3、2、1、5、4、3、2、1···挨次計數(shù)6 6、由數(shù)碼管分別譯碼顯示把握信號和計數(shù)狀態(tài),分別用3 位數(shù)碼管動態(tài)顯示7 7、給出VHDL語言的源程序3、 一般設(shè)計要求(1) 獨立完成設(shè)計任務(wù);(2) 繪制系統(tǒng)硬件總框圖;(3) 繪制系統(tǒng)原理電路圖;(4) 制定編寫設(shè)計方案,編制軟件框圖,完成具體完整的程序清單和注釋;(5) 制定編寫調(diào)試方案,編寫用戶操作使用說明書;(6) 寫出設(shè)計工作小結(jié)。對在完成以上文件過程所進行的有關(guān)步驟如設(shè)計思想、指標(biāo)論證、方案確定、參數(shù)計算、元器件選擇、原理分析等作出說明,并對所完成得設(shè)計作出評價,

4、對自己整個設(shè)計工作中閱歷教訓(xùn),總結(jié)收獲和今后研修方向。四、設(shè)計的具體實現(xiàn)1、試驗原理計數(shù)器是一種多功能的電子測量儀器。它利用電子學(xué)的方法測出肯定時間內(nèi)輸入的脈沖數(shù)目,并將結(jié)果以數(shù)字形式顯示出來。可控五進制計數(shù)器是每五個脈沖信號向前進一位,且當(dāng)把握端不同時,產(chǎn)生的進位輸出不同。再利用數(shù)碼管顯示相應(yīng)的數(shù)值。首先利用進程p1來實現(xiàn)4種模式的計數(shù)功能,由信號sel來把握選擇具體是哪種模式;再利用進程p2來掃描3個數(shù)碼管,而其中1個用來顯示計數(shù)值,2個用來顯示把握信號的值;最終通過進程p3來對數(shù)碼管進行驅(qū)動譯碼,將相應(yīng)的五進制數(shù)值利用數(shù)碼管體現(xiàn)出來。圖1.試驗原理圖2、模擬框圖計數(shù)器模塊 掃描模塊數(shù)碼管

5、 clk cnt selysmx clk duan圖2.模擬結(jié)構(gòu)框圖3、可控計數(shù)器設(shè)計流程由sel來把握4種模式的計數(shù)功能模塊掃描3數(shù)碼管模塊對數(shù)碼管進行驅(qū)動譯碼模塊五進制可控計數(shù)器 圖3.設(shè)計流程4、各個模塊(1) 計數(shù)器單元模塊CLK Y3···0SEL1··0 SHENGHAO圖4.計數(shù)器單元模塊CLK是計時時鐘,也是掃描時鐘,SEL計數(shù)模式把握信號,Y接收cnt的計數(shù)值。它利用電子學(xué)的方法測出肯定時間內(nèi)輸入的脈沖數(shù)目,并將結(jié)果以數(shù)字形式顯示出來??煽匚暹M制計數(shù)器是每五個脈沖信號向前進一位,且當(dāng)把握端不同時,產(chǎn)生的進位輸出不同。(2) 掃

6、描單元CLKCLK DUAN5··0SEL1··0 SHEJI3 圖5.掃描單元模塊CLK是計時時鐘,也是掃描時鐘,SEL計數(shù)模式把握信號,DUAN代表3個數(shù)碼管。利用掃描脈沖掃描3個數(shù)碼管,而其中1個用來顯示計數(shù)值,2個用來顯示把握信號的值。(3) 數(shù)碼管譯碼 SHEJI3CLK SM6··0CLK DUAN5··0SEL圖6.數(shù)碼管譯碼模塊CLK是計時時鐘,也是掃描時鐘,SEL計數(shù)模式把握信號,DUAN代表3個數(shù)碼管,SM是數(shù)碼管的七段是數(shù)碼管數(shù)字七段,它主要用來對數(shù)碼管進行驅(qū)動譯碼,將相應(yīng)的五進制數(shù)值利用數(shù)碼

7、管體現(xiàn)出來。5、管腳安排五、系統(tǒng)的源程序(VHDL)1. 計數(shù)環(huán)節(jié)library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity LQ is port(clk,ck:in std_logic;-clk是計數(shù)時鐘,ck是掃描時鐘 sel:in std_logic_vector(1 downto 0);-計數(shù)模式把握信號 sm:out std_logic_vector(6 downto 0);-數(shù)碼管的七段 duan:out std_logic_vector(2 downto 0);-3個數(shù)碼管

8、end LQ; architecture art of LQ is signal cnt:std_logic_vector(3 downto 0);-信號cnt用來計數(shù) signal temp:integer range 0 to 2;signal x: std_logic_vector(3 downto 0);-數(shù)碼管動態(tài)顯示的值 signal y: std_logic_vector(3 downto 0);-接收cnt的計數(shù)值 begin p1:process(clk)-進程p1主要用來實現(xiàn)4種模式的計數(shù)功能,由信號sel來把握選擇具體是哪種模式 begin if(clk'even

9、t and clk='1')then case sel is when "00"=>if(cnt>="0100")then cnt<="0000" else cnt<=cnt+1; end if; when "01"=>if(cnt>="1000" or cnt="0001" or cnt="0011" or cnt="0101" or cnt="0111")the

10、n cnt<="0000" else cnt<=cnt+2; end if; when "10"=>if(cnt>="1001" or cnt="0000" or cnt="0010" or cnt="0100" or cnt="0110" or cnt="1000")then cnt<="0001" else cnt<=cnt+2; end if; When "11&q

11、uot;=>if(cnt>"0101" or cnt="0001" or cnt="0000")then cnt<="0101" else cnt<=cnt-1; end if; when others=>cnt<="1111"end case; y<=cnt; end if; end process p1; 2. 掃描單元p2:process(clk) -進程p2主要用來掃描3個數(shù)碼管,其中1個用來顯示計數(shù)值,2個用來顯示把握信號的值begin if(

12、clk'event and clk='1') then case temp is when 0=>duan<="001" case sel iswhen"00"=>x<=(4-y); when"01"=>x<=(8-y); when"10"=>x<=(10-y); when"11"=>x<=(6-y);end case; when others=>duan<="010"or&quo

13、t;100" x<="00"&sel; end case; temp<=temp+1; end if; end process p2;3. 數(shù)碼管譯碼部分p3:process(x) -進程p3主要用來對數(shù)碼管進行驅(qū)動譯碼 begin case x is when "0000"=>sm<="1111110" when "0001"=>sm<="0110000" when "0010"=>sm<="110

14、1101" when "0011"=>sm<="1111001" when "0100"=>sm<="0110011" when "0101"=>sm<="1011011" when "0110"=>sm<="1011111" when "0111"=>sm<="1110000" when "1000"=&g

15、t;sm<="1111111" when "1001"=>sm<="1111011" when others=>sm<="0000000" end case; end process p3; end art;六、程序的調(diào)試分析與仿真1、SEL=002、SEL=013、SEL=104、SEL=11七、總結(jié)為期兩周的課程設(shè)計結(jié)束了,從程序的編寫,到編譯調(diào)試和仿真,最終得出試驗結(jié)果,在這一過程中,我學(xué)到了很多,可以說是收獲頗豐。首先,我便發(fā)覺我學(xué)習(xí)中的一大陋俗,那就是基礎(chǔ)學(xué)問不夠堅固,而緣由就是我上課的時候不太認(rèn)真聽

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論