半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第1頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第2頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第3頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第4頁
半導(dǎo)體工藝及芯片制造技術(shù)問題答案全_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、常用術(shù)語翻譯activeregion有源區(qū)2. activeponent有源器件3. Anneal退火4. atmosphericpressureCVD(APCVD)常壓化學(xué)氣相淀積5. BEOL(生產(chǎn)線)后端工序6. BiCMOS雙極CMOS7. bondingwire焊線,引線8. BPSG硼磷硅玻璃9 .channellength溝道長度10 .chemicalvapordeposition(CVD)化學(xué)氣相淀積11 .chemicalmechanicalplanarization(CMP)化學(xué)機(jī)械平坦化12 .damascene大馬士革工藝13 .deposition淀積14 .dif

2、fusion擴(kuò)散15 .dopantconcentration摻雜濃度16 .dryoxidation干法氧化17 .epitaxiallayer夕卜延層18 .etchrate刻蝕速率19 .fabrication制造20 .gateoxide柵氧化硅21.ICreliability集成電路可靠性1.1 interlayerdielectric層間介質(zhì)(ILD)1.10 onimplanter離子注入機(jī)24 .magnetronsputtering磁控濺射25 .metalorganicCVD(MOCVD)金屬有機(jī)化學(xué)氣相淀積26 .pcboard印刷電路板27 .plasmaenhance

3、dCVD(PECVD)等離子體增強(qiáng)CVD28 .polish拋光29 .RFsputtering射頻濺射30 .silicononinsulator絕緣體上硅(SOI)第一章半導(dǎo)體產(chǎn)業(yè)介紹1 .什么叫集成電路?寫出集成電路發(fā)展白五個(gè)時(shí)代及晶體管的數(shù)量?(15分)集成電路:將多個(gè)電子元件集成在一塊襯底上,完成一定的電路或系統(tǒng)功能。集成電路無集成小規(guī)模(SSI)中規(guī)模(MSI)大規(guī)模(LSI)超大規(guī)模(VLSI)甚大規(guī)模(ULSI)芯片/元件數(shù)12到5050到50005000到10萬10萬至M00萬大于100萬產(chǎn)業(yè)周期1960年前20世紀(jì)60年代前期20世紀(jì)60年代到70年代前期20世紀(jì)70年代前

4、期到后期20世紀(jì)70年代后期到80年代后期20世紀(jì)90年代后期到現(xiàn)在2 .寫出IC制造的5個(gè)步驟?(15分)Waferpreparation(硅片準(zhǔn)備)Waferfabrication(硅片制造)Wafertest/sort(硅片測試和揀選)Assemblyandpackaging(裝配和封裝)Finaltest(終測)3 .寫出半導(dǎo)體產(chǎn)業(yè)發(fā)展方向?什么是摩爾定律?(15分)發(fā)展方向:提高芯片性能一一提升速度(關(guān)鍵尺寸降低,集成度提高,研發(fā)采用新材料),降低功耗。提高芯片可靠性一一嚴(yán)格控制污染。降低成本一一線寬降低、晶片直徑增加。摩爾定律指:IC的集成度將每隔一年翻一番。1975年被修改為:I

5、C的集成度將每隔一年半翻一番。4 .什么是特征尺寸CD?(10分)最小特征尺寸,稱為關(guān)鍵尺寸(CriticalDimension,CD)CD常用于衡量工藝難易的標(biāo)志。5 .什么是Moremoore定律和MorethanMoore定律?(10分)"MoreMoore指的是芯片特征尺寸的不斷縮小。從幾何學(xué)角度指的是為了提高密度、性能和可靠性在晶圓水平和垂直方向上的特征尺寸的繼續(xù)縮小。與此關(guān)聯(lián)的3D結(jié)構(gòu)改善等非幾何學(xué)工藝技術(shù)和新材料的運(yùn)用來影響晶圓的電性能?!癕oreThanMoore指的是用各種方法給最終用戶提供附加價(jià)值,不一定要縮小特征尺寸如從系統(tǒng)組件級(jí)向3D集成或精確的封裝級(jí)(SiP

6、)或芯片級(jí)(SoC)轉(zhuǎn)移。6 .名詞解釋:high-k;low-k;Fabless;Fablite;IDM;Foundry;Chipless(20分)high-k:高介電常數(shù)。low-k:低介電常數(shù)。Fabless:IC設(shè)計(jì)公司,只設(shè)計(jì)不生產(chǎn)。Fablite:輕晶片廠,有少量晶圓制造廠的IC公司。IDM:集成器件制造商(IDM-IntegratedDeviceManufactoryCo.),從晶圓之設(shè)計(jì)、制造到以自有品牌行銷全球皆一手包辦。Foundry:標(biāo)準(zhǔn)工藝加工廠或稱專業(yè)代工廠商。Chipless:既不生產(chǎn)也不設(shè)計(jì)芯片,而是設(shè)計(jì)IP內(nèi)核,授權(quán)給半導(dǎo)體公司使用。7 .例舉出半導(dǎo)體產(chǎn)業(yè)的8種

7、不同職業(yè)并簡要描述.(15分)1 .硅片制造技師:負(fù)責(zé)操作硅片制造設(shè)備。一些設(shè)備維護(hù)以及工藝和設(shè)備的基本故障查詢。2 .設(shè)備技師:查詢故障并維護(hù)先進(jìn)設(shè)備系統(tǒng),保證在硅片制造過程中設(shè)備能正確運(yùn)行。3 .設(shè)備工程師:從事確定設(shè)備設(shè)計(jì)參數(shù)和優(yōu)化硅片生產(chǎn)的設(shè)備性能。4 .工藝工程師:分析制造工藝和設(shè)備的性能以確定優(yōu)化參數(shù)設(shè)置。5 .實(shí)驗(yàn)室技師:從事開發(fā)實(shí)驗(yàn)室工作,建立并進(jìn)行試驗(yàn)。6:成品率/失效分析技師:從事與缺陷分析相關(guān)的工作,如準(zhǔn)備待分析的材料并操作分析設(shè)備以確定在硅片制造過程中引起問題的根源。7 .成品率提高工程師:收集并分析成品率及測試數(shù)據(jù)以提高硅片制造性能。8 .設(shè)施工程師:為硅片制造廠的化

8、學(xué)材料、凈化空氣及常用設(shè)備的基礎(chǔ)設(shè)施提供工程設(shè)計(jì)支持。第二章半導(dǎo)體材料特性第五章半導(dǎo)體制造中的化學(xué)品第六章硅片制造中的玷污控制1 .最通常的半導(dǎo)體材料是什么?該材料使用最普遍的原因是什么?(第二章)(10分)答:最通常的半導(dǎo)體材料是硅。原因:1.硅的豐裕度;2.更高的融化溫度允許更高的工藝容限;3.更寬的工作溫度范圍;4.氧化硅的自然生成.2 .神化錢相對(duì)于硅的優(yōu)點(diǎn)是什么?(第二章)(5分)答:神化錢具有比硅更高的電子遷移率,因此多數(shù)載流子也移動(dòng)得比硅中的更快。神化錢也有減小寄生電容和信號(hào)損耗的特性。這些特性使得集成電路的速度比由硅制成的電路更快。GaAs器件增進(jìn)的信號(hào)速度允許它們?cè)谕ㄐ畔到y(tǒng)中

9、響應(yīng)高頻微波信號(hào)并精確地把它們轉(zhuǎn)換成電信號(hào)。硅基半導(dǎo)體速度太慢以至于不能響應(yīng)微波頻率。神化錢的材料電阻率更大,這使得神化錢襯底上制造的半導(dǎo)體器件之間很容易實(shí)現(xiàn)隔離,不會(huì)產(chǎn)生電學(xué)性能的損失。3 .描述在硅片廠中使用的去離子水的概念。(第五章)(5分)答:去離子水:在半導(dǎo)體制造過程中廣泛使用的溶劑,在它里面沒有任何導(dǎo)電的離子。DIWater的PH值為7,既不是酸也不是堿,是中性的。它能夠溶解其他物質(zhì),包括許多離子化合物和供價(jià)化合物。當(dāng)水分子(H2O)溶解離子化合物時(shí),它們通過克服離子間離子鍵使離子分離,然后包圍離子,最后擴(kuò)散到液體中。4 .例舉出硅片廠中使用的五種通用氣體。(第五章)(5分)答:氧

10、氣(O2)、僦氣(Ar)、氮?dú)猓∟2)、氫氣(H2)和氨氣(He)答:凈化間是硅片制造設(shè)備與外部環(huán)境隔離,免受諸如顆粒、金屬、有機(jī)分子和靜電釋放(ESD)的玷污。一般來講,那意味著這些玷污在最先進(jìn)測試儀器的檢測水平范圍內(nèi)都檢測不到。凈化間還意味著遵循廣泛的規(guī)程和實(shí)踐,以確保用于半導(dǎo)體制造的硅片生產(chǎn)設(shè)施免受玷污。6 .什么是硅片的自然氧化層?由自然氧化層引起的三種問題是什么?(第六章)(10分)答:自然氧化層:如果曝露于室溫下的空氣或含溶解氧的去離子水中,硅片的表面將被氧化。這一薄氧化層稱為自然氧化層。硅片上最初的自然氧化層生長始于潮濕,當(dāng)硅片表面暴露在空氣中時(shí),一秒鐘內(nèi)就有幾十層水分子吸附在硅

11、片上并滲透到硅表面,這引起硅表面甚至在室溫下就發(fā)生氧化。自然氧化層引起的問題是:將妨礙其他工藝步驟,如硅片上單晶薄膜的生長和超薄氧化層的生長。另一個(gè)問題在于金屬導(dǎo)體的接觸區(qū),如果有氧化層的存在,將增加接觸電阻,減少甚至可能阻止電流流過。對(duì)半導(dǎo)體性能和可靠性有很大的影響7 .例舉硅片制造廠房中的7種玷污源。(第六章)(10分)答:硅片制造廠房中的七中沾污源:(1)空氣:凈化級(jí)別標(biāo)定了凈化間的空氣質(zhì)量級(jí)別,它是由凈化室空氣中的顆粒尺寸和密度表征的;(2)人:人是顆粒的產(chǎn)生者,人員持續(xù)不斷的進(jìn)出凈化間,是凈化間沾污的最大來源;(3)廠房:為了是半導(dǎo)體制造在一個(gè)超潔凈的環(huán)境中進(jìn)行,有必要采用系統(tǒng)方法來

12、控制凈化間區(qū)域的輸入和輸出;(4)水:需要大量高質(zhì)量、超純?nèi)ルx子水,城市用水含有大量的沾污以致不能用于硅片生產(chǎn)。去離子水是硅片生產(chǎn)中用得最多的化學(xué)品(5)工藝用化學(xué)品:為了保證成功的器件成品率和性能,半導(dǎo)體工藝所用的液態(tài)化學(xué)品必須不含沾污;(6)工藝氣體:氣體流經(jīng)提純器和氣體過濾器以去除雜質(zhì)和顆粒;(7)生產(chǎn)設(shè)備:用來制造半導(dǎo)體硅片的生產(chǎn)設(shè)備是硅片生產(chǎn)中最大的顆粒來源。8 .解釋空氣質(zhì)量凈化級(jí)別。(第六章)(5分)答:凈化級(jí)別標(biāo)定了凈化間的空氣質(zhì)量級(jí)別,它是由凈化室空氣中的顆粒尺寸和密度表征的。這一數(shù)字描繪了要怎樣控制顆粒以減少顆粒玷污。凈化級(jí)別起源于美國聯(lián)邦標(biāo)準(zhǔn)2009.如果凈化間級(jí)別僅用顆

13、粒數(shù)來說明,例如1級(jí)凈化間,則只接受1個(gè)0.5um的顆粒。這意味著每立方英尺中尺寸等于或大于0.5um的顆粒最多允許一個(gè)。9 .描述凈化間的舞廳式布局。(第六章)(10分)答:凈化間的舞廳式布局為大的制造間具有10000級(jí)的級(jí)別,層流工作臺(tái)則提供一個(gè)100級(jí)的生產(chǎn)環(huán)境。10 .解釋水的去離子化。在什么電阻率級(jí)別下水被認(rèn)為已經(jīng)去離子化?(第六章)(10分)答:用以制造去離子水的去離子化過程是指,用特制的離子交換樹脂去除電活性鹽類的離子。18MQ-cm電阻率級(jí)別下水被認(rèn)為已經(jīng)去離子化。11 .描述RCA清洗工藝。(第六章)(10分)答:工業(yè)標(biāo)準(zhǔn)濕法清洗工藝稱為RCA清洗工藝,由美國無線電公司(RC

14、A)于20世紀(jì)60年代提出。RCA濕法清洗由一系列有序的浸入兩種不同的化學(xué)溶液組成:1號(hào)標(biāo)準(zhǔn)清洗液(SC-1)和2號(hào)標(biāo)準(zhǔn)清洗液(SC-2)。SC-1的化學(xué)配料為NH4OH/H2O2/H2O這三種化學(xué)物按1:1:5到1:2:7的配比混合,它是堿性溶液,能去除顆粒和有機(jī)物質(zhì),SC-1濕法清洗主要通過氧化顆?;螂妼W(xué)排斥起作用。SC-2的組分是HCL/H2O2/H2O,按1:1:6到1:2:8的配比混合,用于去除硅片表面的金屬。改進(jìn)后的RCA清洗可在低溫下進(jìn)行,甚至低到45攝氏度12 .例出典型的硅片濕法清洗順序。(第六章)(10分)硅片清洗步驟:(1)H2SO4/H2O2(piranha):有機(jī)物和

15、金屬;(2)UPW清洗(超純水):清洗;(3)HF/H2O(稀HF):自然氧化層;(4)UPW清洗:清洗;(5)NH4OH/H2O2/H2O(SC-1):顆粒;(6)UPW清洗:清洗;(7)HF/H2O:自然氧化層;(8)UPW清洗:清洗;(9)HCL/H2O2/H2O(SC-2):金屬;(10)UPW清洗:清洗;(11)HF/H2O:自然氧化層;(12)UPW清洗:清洗;(13)干燥:干燥第三章器件技術(shù)基礎(chǔ)1 .按構(gòu)成集成電路基礎(chǔ)的晶體管分類可以將集成電路分為哪些類型?每種類型各有什么特征?(40分)答:分為三種,雙極集成電路,MOS集成電路,雙極-MOS(BiMOS)集成電路。雙極集成電路

16、:采用的有源器件是雙極晶體管,特點(diǎn):速度高,驅(qū)動(dòng)能力強(qiáng),但功耗大,集成能力低。MOS集成電路:采用的有源器件是MOS晶體管,特點(diǎn):輸入阻抗高,抗干擾能力強(qiáng),功耗小,集成度高。雙極-MOS(BiMOS)集成電路:同時(shí)包含雙極和MOS晶體管,特點(diǎn):綜合了速度高,驅(qū)動(dòng)能力強(qiáng),抗干擾能力強(qiáng),功耗小,集成度高的優(yōu)點(diǎn),但制造工藝復(fù)雜。2 .什么是無源元件?例舉出兩個(gè)無源元件的例子。什么是有源元件?例舉出兩個(gè)有源元件的例子。(30分)答:無源元件:在不需要外加電源的條件下,就可以顯示其特性的電子元件。這些元件無論如何和電源相連,都可以傳輸電流。如電阻,電容。有源元件:內(nèi)部有電源存在,不需要能量的來源而實(shí)行它

17、特定的功能,而且可以控制電流方向,可放大信號(hào)。如二極管,晶體管。3 .什么是CMOS技術(shù)?什么是ASIC?(30分答:CMOS(互補(bǔ)型金屬氧化物半導(dǎo)體)技術(shù):將成對(duì)的金屬氧化物半導(dǎo)體ASIC:(ApplicationSpecificIntegratedCircuits)專用集成電路,是指應(yīng)特定用戶要求或特定電子系統(tǒng)的需要而設(shè)計(jì)、制造的集成電路。優(yōu)點(diǎn)是:體積小,重量輕,功耗低,可靠性好,易于獲得高性能,保密性好,大批量應(yīng)用時(shí)顯著降低成本。第四章硅和硅片制備1 .例舉得到半導(dǎo)體級(jí)硅的三個(gè)步驟。半導(dǎo)體級(jí)硅的純度能達(dá)到多少?(50分)第一步:用碳加熱硅石來制備冶金級(jí)硅第二步:通過化學(xué)反應(yīng)將冶金級(jí)硅提純

18、以生成三氯硅烷第三步:利用西門子方法,通過三氯硅烷和氫氣反應(yīng)來生產(chǎn)半導(dǎo)體級(jí)硅純度能達(dá)到99.99999999%2 .將圓柱形的單晶硅錠制備成硅片需要哪些工藝流程?(30分)整形處理,切片,磨片和倒角,刻蝕,拋光,清洗,硅片評(píng)估,包裝3 .什么是外延層?為什么硅片上要使用外延層?(20分)外延層是指在硅的外延中以硅基片為籽晶生長一薄膜層,新的外延層會(huì)復(fù)制硅片的晶體結(jié)構(gòu),并且結(jié)構(gòu)比原硅片更加規(guī)則。外延為器件設(shè)計(jì)者在優(yōu)化器件性能方面提供了很大的靈活性,例如可以控制外延層摻雜厚度、濃度、輪廓,而這些因素與硅片襯底無關(guān)的,這種控制可以通過外延生長過程中的摻雜來實(shí)現(xiàn)。外延層還可以減少CMOS器件中的閂鎖效

19、應(yīng)。第七章測量學(xué)和缺陷檢查第八章工藝腔中的氣體控制第十九章硅片測試第二十章裝配與封裝1.給出半導(dǎo)體質(zhì)量測量的定義。例出在集成電路制造中12種不同的質(zhì)量測量(第七章)(10分)半導(dǎo)體質(zhì)量測量定義了硅片制造的規(guī)范要求,以確保滿足器件的性能和可靠性。集成電路制造中的12種不同的質(zhì)量測量:1.膜厚2.方塊電阻3.膜應(yīng)力4.折射率5.摻雜濃度6.無圖形表面缺陷7.有圖形表面缺陷8.關(guān)鍵尺寸9.臺(tái)階覆蓋10.套刻標(biāo)記11.電容-電壓特性12.接觸的角度2 .硅片關(guān)鍵尺寸測量的主要工具是什么?(第七章)(5分)硅片關(guān)鍵尺寸測量的主要工具是掃描電子顯微鏡(SEM),它能放大10萬到30萬倍,這明顯高于光學(xué)顯微

20、鏡,用掃描電子顯微鏡觀測硅片的橫截面部分能提供缺陷的信息,常與其他分析技術(shù)結(jié)合使用,如EDX或F舊。3 .解釋投射電子能顯微鏡。(第七章)(10分)TEM把加速和聚集的電子束投射到非常薄的樣品上,電子與樣品中的電子碰撞而電子與樣品中的原子的碰撞而改變方向,從而產(chǎn)生立體角散射,散射角的大小與樣品的密度、厚度有關(guān),因此可以形成明暗不同的影像。TEM是惟一定量測量硅片上一些非常小特征尺寸的測量工具4 .例出并描述4種真空范圍。(第八章)(5分)四種真空范圍:(1)低級(jí)真空:氣流主要是由分子間碰撞產(chǎn)生的(也稱滯留),壓強(qiáng)高得足以機(jī)械型壓力測量儀測量。(2)中級(jí)真空:范圍是1托到10e-3托。(3)高級(jí)

21、真空:氣體分子間很少有碰撞。(4)超高級(jí)真空:是高級(jí)真空的延伸,通過對(duì)真空腔的設(shè)計(jì)和材料的嚴(yán)格控制盡量減少不需要的氣體成分。5 .給出使用初級(jí)泵和真空泵的理由。(第八章)(5分)答:當(dāng)真空里的壓強(qiáng)減低時(shí),氣體分子間的空間加大了,這成為氣體流過系統(tǒng)及在工藝腔內(nèi)產(chǎn)生等離子體的重要因素。而初級(jí)泵可以去除腔內(nèi)99.99%的原始空氣或其他成分,高級(jí)真空泵用來獲得壓力范圍10e-3托到10e-9托的高級(jí)和超高級(jí)真空。6 .例舉并描述IC生產(chǎn)過程中的5種不同電學(xué)測試。(第十九章)(5分)答:IC生產(chǎn)過程中的5種不同電學(xué)測試:(1)IC設(shè)計(jì)驗(yàn)證:描述、調(diào)試和檢驗(yàn)新的芯片設(shè)計(jì),保證符合規(guī)格要求,是在生產(chǎn)前進(jìn)行的

22、。(2)在線參數(shù)測試:為了監(jiān)控工藝,在制作過程的早期(前端)進(jìn)行的產(chǎn)品工藝檢驗(yàn)測試。在硅片制造過程中進(jìn)行。(3)硅片揀選測試(探針):產(chǎn)品功能測試,驗(yàn)證每一個(gè)芯片是否符合產(chǎn)品規(guī)格。在硅片制造后進(jìn)行。(4)可靠性:集成電路加電并在高溫下測試,以發(fā)現(xiàn)早期失效(有時(shí)候,也在在線參數(shù)測試中進(jìn)行硅片級(jí)的可靠性測試)。在封裝的IC進(jìn)行。(5)終測:使用產(chǎn)品規(guī)格進(jìn)行的產(chǎn)品功能測試。在封裝的IC進(jìn)行。7 .例舉并解釋5個(gè)進(jìn)行在線參數(shù)測試的理由。(第十九章)(5分)答:五個(gè)進(jìn)行在線參數(shù)測試的理由為:(1)鑒別工藝問題:硅片制造過程中工藝問題的早期鑒定(而不是等到已經(jīng)完成了硅片制造才發(fā)現(xiàn)有問題進(jìn)行測試。(2)通過

23、/失效標(biāo)準(zhǔn):依據(jù)通過/失效標(biāo)準(zhǔn)決定硅片是否繼續(xù)后面的制造程序。(3)數(shù)據(jù)收集:為了改進(jìn)工藝,收集硅片數(shù)據(jù)以評(píng)估工藝傾向(如溝道長度的改變)。(4)特殊測試:在需要的時(shí)候評(píng)估特殊性能參數(shù)(如特殊客戶需求)。(5)硅片級(jí)可靠性:需要確定可靠性與工藝條件的聯(lián)系時(shí),進(jìn)行隨機(jī)的硅片級(jí)可靠性測試8 .什么是IC可靠性?什么是老化測試?(第十九章)(10分)IC可靠性是指器件在其預(yù)期壽命內(nèi),在其使用環(huán)境中正常工作的概率,換句話說就是集成電路能正常使用多長時(shí)間。老化測試在很苛刻的環(huán)境中(如吧溫度提高到85C,提高偏置電壓)給芯片加電并測試,使不耐用的器件失效,從而避免它們被交給客戶),這種測試能夠產(chǎn)生更可靠的

24、集成電路,但往往需要長時(shí)間的測試,十幾甚至數(shù)百小時(shí),這是一種費(fèi)錢耗時(shí)的工作9 .例舉在線參數(shù)測試的4個(gè)主要子系統(tǒng)。(第十九章)(5分)在線參數(shù)測試的4個(gè)主要子系統(tǒng)為:(1)探針卡接口:是自動(dòng)測試儀與待測器件之間的接口。(2)硅片定位:為測試硅片,首先要確與探針接觸的硅片的探針儀位置。(3)測試儀器:高級(jí)集成電路需要能夠在測試結(jié)構(gòu)上快速、準(zhǔn)確、重復(fù)地測量亞微安級(jí)電流和微法級(jí)電容的自動(dòng)測試設(shè)備,它控制測試過程(4)作為網(wǎng)絡(luò)主機(jī)或客戶機(jī)的計(jì)算機(jī):指導(dǎo)測試系統(tǒng)操作的計(jì)算機(jī)包括測試軟件算法、自動(dòng)測試設(shè)備、用于硅片定位的探查控制軟件、測試數(shù)據(jù)的保存和控制、系統(tǒng)校準(zhǔn)和故障診斷。10 .例舉并描述硅片揀選測試

25、中的三種典型電學(xué)測試(第十九章)(5分)硅片揀選測試中的三種典型電學(xué)測試:(1)DC測試:第一電學(xué)測試是確保探針和壓焊點(diǎn)之間良好電學(xué)接觸的連接性檢查。這項(xiàng)檢查保證了技術(shù)員的測試儀安裝正常。(2)輸出檢查:硅片挑選測試用來測試輸出信號(hào)以檢驗(yàn)芯片性能。主要驗(yàn)證輸出顯示的位電平(邏輯“1或高電平,邏輯“0或低電平),是否和預(yù)期的一致。(3)功能測試:功能測試檢驗(yàn)芯片是否按照產(chǎn)品數(shù)據(jù)規(guī)范的要求工作。功能測試軟件程序測試芯片的所有方面,它將二進(jìn)制測試圖形加入被測器件并驗(yàn)證其輸出的正確性。11 .什么是印刷電路板(第二十章)(5分)印刷電路板(PCB)又稱為底板或載體,用焊料將載有芯片的集成電路塊粘貼在板

26、上的電路互連,同時(shí)使用連接作為其余產(chǎn)品的電子子系統(tǒng)的接口。12 .例舉出傳統(tǒng)裝配的4個(gè)步驟。(第二十章)(5分)傳統(tǒng)裝配的4個(gè)步驟:1.背面減薄2分片;3.裝架;4.引線鍵合13 .例舉出兩種最廣泛使用的集成電路封裝材料。(第二十章)(5分)兩種最廣泛使用的集成電路封裝材料是塑料封裝和陶瓷封裝14 .例舉并描述6種不同的塑料封裝形式。陶瓷封裝的兩種主要封裝方法是什么?(第二十章)(10分)答:6種不同的塑料封裝形式:(1)雙列直插封裝(DIP):典型有兩列插孔式管腳向下彎,穿過電路板上的孔。(2)單列直插封裝(SIP):是DIP的替代品,用以減小集成電路組件本體所占據(jù)電路板的空間。(3)薄小型

27、封裝(TSOP):廣泛用于存儲(chǔ)器和智能卡具有鷗翼型表面貼裝技術(shù)的管腳沿兩邊粘貼在電路板上相應(yīng)的壓點(diǎn)。(4)西邊形扁平封裝(QFP):是種在外殼四邊都有高密度分布的管腳表面貼裝組件。(5)具有J性管腳的塑封電極芯片載體(PLCC)(6)無引線芯片載體(LCC):是一種電極被管殼周圍包起來以保持低刨面的封裝形式15 .例舉出7種先進(jìn)封裝技術(shù)。(第二十章)(10分)7種先進(jìn)封裝技術(shù)包括:(1)倒裝芯片:將芯片的有源面(具有表面鍵合壓點(diǎn))面向基座的粘貼封裝技術(shù)。(2)球柵陣列(BGA):與針柵陣列有相似的封裝設(shè)計(jì),有陶瓷或塑料的基座構(gòu)成基座具有用于連接基座與電路板的共晶Sn/Pb焊料球的面陣列。(3)

28、板上芯片(COB):被開發(fā)以集成電路芯片直接固定到具有其它SMT和PIH組件的基座上,又被稱為直接芯片粘貼。(4)卷帶式自動(dòng)鍵合(TAB):是一種I/O封裝方式,它使用塑料袋作為新片載體。(5)多芯片模塊(MCM):是一種將幾個(gè)芯片固定在同意基座上的封裝形式。(6)芯片尺寸封裝(CSP):一般定義是小于芯片占地面積1.2倍的集成電路封裝形式。(7)圓片級(jí)封裝:是第一級(jí)互聯(lián)和在劃片前硅片上的封裝I/O端得形成。第九章集成電路制造工藝概括1 .例舉出芯片廠中6個(gè)不同的生產(chǎn)區(qū)域并對(duì)每一個(gè)生產(chǎn)區(qū)域做簡單描述。(20分)答:芯片廠中通常分為擴(kuò)散區(qū)、光刻區(qū)、刻蝕區(qū)、離子注入?yún)^(qū)、薄膜生長區(qū)和拋光區(qū)6個(gè)生產(chǎn)區(qū)

29、域:擴(kuò)散區(qū)是進(jìn)行高溫工藝及薄膜積淀的區(qū)域,主要設(shè)備是高溫爐和濕法清洗設(shè)備;光刻區(qū)是芯片制造的心臟區(qū)域,使用黃色熒光管照明,目的是將電路圖形轉(zhuǎn)移到覆蓋于硅片表面的光刻膠上;刻蝕工藝是在硅片上沒有光刻膠保護(hù)的地方留下永久的圖形;離子注入是用高壓和磁場來控制和加速帶著要摻雜的雜質(zhì)的氣體;高能雜質(zhì)離子穿透涂膠硅片的表面,形成目標(biāo)硅片;薄膜生長主要負(fù)責(zé)生產(chǎn)各個(gè)步驟中的介質(zhì)層與金屬層的淀積。拋光,即CMP(化學(xué)機(jī)械平坦化)工藝的目的是使硅片表面平坦化。2 .離子注入前一般需要先生長氧化層,其目的是什么?(10分)答:氧化層保護(hù)表面免污染,免注入損傷,控制注入溫度。3 .離子注入后為什么要進(jìn)行退火?(10分

30、)答:推進(jìn),激活雜質(zhì),修復(fù)損傷。4 .光刻和刻蝕的目的是什么?(20分)答:光刻的目的是將電路圖形轉(zhuǎn)移到覆蓋于硅片表面的光刻膠上,而刻蝕的目的是在硅片上無光刻膠保護(hù)的地方留下永久的圖形。即將圖形轉(zhuǎn)移到硅片表面。5 .為什么要采用LDD工藝?它是如何減小溝道漏電流的?(10分)答:溝道長度的縮短增加了源漏穿通的可能性,將引起不需要的漏電流,所以需要采用LDD工藝。輕摻雜漏注入使神和BF2這些較大質(zhì)量的摻雜材料使硅片的上表面成為非晶態(tài)。大質(zhì)量材料和表面非晶態(tài)的結(jié)合有助于維持淺結(jié),從而減少源漏間的溝道漏電流效應(yīng)。6 .為什么晶體管柵結(jié)構(gòu)的形成是非常關(guān)鍵的工藝?更小的柵長會(huì)引發(fā)什么問題?(10分)答:

31、因?yàn)樗俗畋〉臇叛趸瘜拥臒嵘L以及多晶硅柵的刻印和刻蝕,而后者是整個(gè)集成電路工藝中物理尺度最小的結(jié)構(gòu)。多晶硅柵的寬度通常是整個(gè)硅片上最關(guān)鍵的CD線寬。隨著柵的寬度不斷減少,柵結(jié)構(gòu)(源漏間的硅區(qū)域)下的溝道長度也不斷減少。晶體管中溝道長度的減少增加了源漏間電荷穿通的可能性,并引起了不希望的溝道漏電流。7、描述金屬復(fù)合層中用到的材料?(10分)答:采用三明治金屬結(jié)構(gòu),包括:(1)淀積Ti,使鴇塞和下一層金屬良好鍵合,層間介質(zhì)良好鍵合;(2) Al,Au合金,加入銅抗電遷移;(3) TiN作為下一次光刻的抗反射層;(10分)8、STI隔離技術(shù)中,為什么采用干法離子刻蝕形成槽?答:采用干法刻蝕,是

32、為了保證深寬比。第十章氧化1 .二氧化硅薄膜在集成電路中具有怎樣的應(yīng)用?(15分)器件保護(hù)(避免劃傷和污染),因sio2致密;表面鈍化(飽和懸掛鍵,降低界面態(tài);需一定厚度,降低漏電流等);用作絕緣介質(zhì)和隔離(LOCOS,STI)如:隔離(如場氧,需要一定的厚度)、絕緣柵(膜厚均勻,無電荷和雜質(zhì),需干氧氧化)、多層布線絕緣層、電容介質(zhì)等;選擇性擴(kuò)散摻雜的掩膜2 .說明水汽氧化的化學(xué)反應(yīng),水汽氧化與干氧氧化相比速度是快還是慢?為什么?(15分)化學(xué)反應(yīng):Si+2H2O->SiO2+2H2水汽氧化與干氧氧化相比速度更快,因?yàn)樗魵獗妊鯕庠诙趸柚袛U(kuò)散更快、溶解度更高3 .描述熱氧化過程。(2

33、0分)干氧:Si+O2SiO2氧化速度慢,氧化層干燥、致密,均勻性、重復(fù)性好,與光刻膠的粘附性好水汽氧化:Si+H2OSiO2(固)+H2(氣)氧化速度快,氧化層疏松,均勻性差,與光刻膠的粘附性差濕氧:氧氣攜帶水汽,故既有Si與氧氣反應(yīng),又有與水汽反應(yīng)氧化速度、氧化質(zhì)量介于以上兩種方法之間4 .影響氧化速度的因素有哪些?(15分)摻雜物、晶體晶向、壓力、溫度、水蒸氣5 .例舉并描述熱生長SiO2-Si系統(tǒng)中的電荷有哪些?(15分)界面陷阱電荷、可移動(dòng)氧化物電荷6 .立式爐系統(tǒng)的五部分是什么?例舉并簡單描述(20分)工藝腔、硅片傳輸系統(tǒng)、氣體分配系統(tǒng)、尾氣系統(tǒng)、溫控系統(tǒng)工藝腔是對(duì)硅片加熱的場所,

34、由垂直的石英罩鐘、多區(qū)加熱電阻絲和加熱管套組成硅片傳輸系統(tǒng)在工藝腔中裝卸硅片,自動(dòng)機(jī)械在片架臺(tái)、爐臺(tái)、裝片臺(tái)、冷卻臺(tái)之間移動(dòng)氣體分配系統(tǒng)通過將正確的氣體通到爐管中來維持爐中氣氛控制系統(tǒng)控制爐子所有操作,如工藝時(shí)間和溫度控制、工藝步驟的順序、氣體種類、氣流速率、升降溫速率、裝卸硅片第十一章淀積1 .什么是薄膜?例舉并描述可接受的薄膜的8個(gè)特性。(15分)薄膜:指某一維尺寸遠(yuǎn)小于另外兩維上的尺寸的固體物質(zhì)。好的臺(tái)階覆蓋能力、高的深寬比填隙能力(3:1)厚度均勻(避免針孔、缺陷)、高純度和高密度、受控的化學(xué)劑量結(jié)構(gòu)完整和低應(yīng)力、好的粘附性(避免分層、開裂致漏電)2 .例舉并描述薄膜生長的三個(gè)階段。(

35、10分)(1)晶核形成分離的小膜層形成于襯底表面,是薄膜進(jìn)一步生長的基礎(chǔ)。(2)凝聚成束形成(Si)島,且島不斷長大(3)連續(xù)成膜島束匯合并形成固態(tài)的連續(xù)的薄膜淀積的薄膜可以是單晶(如外延層)、多晶(多晶硅柵)和無定形(隔離介質(zhì),金屬膜)的3 .什么是多層金屬化?它對(duì)芯片加工來說為什么是必需的?(10分)多層金屬化:用來連接硅片上高密度器件的金屬層和絕緣層關(guān)鍵層:線條寬度被刻蝕為器件特征尺寸的金屬層。對(duì)于ULSI集成電路而言,特征尺寸的范圍在形成柵的多晶硅、柵氧以及距離硅片表面最近的金屬層。介質(zhì)層層間介質(zhì)(ILD)ILD1:隔離晶體管和互連金屬層;隔離晶體管和表面雜質(zhì)。采用低k介質(zhì)作為層間介質(zhì)

36、,以減小時(shí)間延遲,增加速度。4 .例舉淀積的5種主要技術(shù)。(10分)a.APCVD(AtmospherePressureChemicalVaporDeposition)b.LPCVDc.等離子體輔助CVD:HDPCVD(High-DensityPlasmaCVD)PECVD(PlasmaenhancedCVD)d.VPE和金屬有機(jī)化學(xué)氣相淀積電化學(xué)淀積(ECD)、化學(xué)鍍層物理方法:(1)PVD(2)蒸發(fā)(含MBE)(3)旋涂(SOG,SOD)5 .描述CVD反應(yīng)中的8個(gè)步驟(15分)。1)質(zhì)量傳輸2)薄膜先驅(qū)物反應(yīng)3)氣體分子擴(kuò)散4)先驅(qū)物吸附5)先驅(qū)物擴(kuò)散進(jìn)襯底6)表面反應(yīng)7)副產(chǎn)物解吸8)

37、副產(chǎn)物去除6 .例舉高k介質(zhì)和低k介質(zhì)在集成電路工藝中的作用。(10分)(1)低k介質(zhì)須具備低泄漏電流、低吸水性、低應(yīng)力、高附著力、高硬度、高穩(wěn)定性、好的填隙能力,便于圖形制作和平坦化、耐酸堿以及低接觸電阻。研究較多的幾種無機(jī)低介電常數(shù)(二)高k介質(zhì)應(yīng)DRAM存儲(chǔ)器高密度儲(chǔ)能的需要,引入了高k介質(zhì),在相同電容(或儲(chǔ)能密度)可以增加?xùn)沤橘|(zhì)的物理厚度,避免薄柵介質(zhì)隧穿和大的柵漏電流。同時(shí),降低工藝難度。有潛力的高k介質(zhì):Ta2O5,(BaSr)TiO3.7、名詞解釋:CVD、LPCVD、PECVD、VPE、BPSG。(將這些名詞翻譯成中文并做出解釋)(10分)(1) CVD、化學(xué)氣相淀積(Chem

38、icalVaporDeposition)是指利用熱能、輝光放電等離子體或其它形式的能源,使氣態(tài)物質(zhì)在固體的熱表面上發(fā)生化學(xué)反應(yīng)并在該表面上淀積,形成穩(wěn)定的固態(tài)物質(zhì)的工藝過程。(2)低壓CVD(LPCVD)裝片;爐子恒溫并對(duì)反應(yīng)室抽真空到1.3Pa;充N2氣或其它惰性氣體進(jìn)行吹洗;再抽真空到1.3Pa;完成淀積;關(guān)閉所有氣流,反應(yīng)室重新抽到1.3Pa;回充N2氣到常壓,取出硅片。(3)等離子體增強(qiáng)CVD(PECVD)淀積溫度低,冷壁等離子體反應(yīng),產(chǎn)生顆粒少,需要少的清洗空間等等離子體輔助CVD的優(yōu)點(diǎn)。(4) VPE氣相外延:硅片制造中最常用的硅外延方法是氣相外延,屬于CVD范疇。在溫度為800-

39、1150C的硅片表面通過含有所需化學(xué)物質(zhì)的氣體化合物,就可以實(shí)現(xiàn)氣相外延。(5) BPSG:硼磷硅玻璃(boro-phospho-silicate-glass,BPSG):這是一種摻硼的SiO2玻璃??刹捎肅VD方法(SiH4+O2+PH3+B2H6,4000c450oC)來制備。BPSG與PSG(磷硅玻璃)一樣,在高溫下的流動(dòng)性較好,廣泛用作為半導(dǎo)體芯片表面平坦性好的層間絕緣膜8、質(zhì)量輸運(yùn)限制CVD和反應(yīng)速度限制CVD工藝的區(qū)別?(10分)1、質(zhì)量傳輸限制淀積速率淀積速率受反應(yīng)物傳輸速度限制,即不能提供足夠的反應(yīng)物到襯底表面,速率對(duì)溫度不敏感(如高壓CVD)。2、反應(yīng)速度限制淀積速率淀積速率

40、受反應(yīng)速度限制,這是由于反應(yīng)溫度或壓力過低(傳輸速率快),提供驅(qū)動(dòng)反應(yīng)的能量不足,反應(yīng)速率低于反應(yīng)物傳輸速度??梢酝ㄟ^加溫、加壓提高反應(yīng)速度。9、采用LPCVDTEOS淀積的是什么膜?這層膜的優(yōu)點(diǎn)是什么?(10分)多晶硅薄膜用TEOS(正硅酸乙酯)-臭氧方法淀積SiO2Si(C2H5O4)+8O3SiO2+10H2O+8CO2優(yōu)點(diǎn):a、低溫淀積;b、高的深寬比填隙能力;c、避免硅片表面和邊角損傷;第十二章金屬化第十八章化學(xué)機(jī)械平坦化1 .解釋下列名詞:互連、接觸、通孔和填充塞(第十二章)(10分)(1)互連:由導(dǎo)電材料,如鋁、多晶硅和銅制成的連線將電信號(hào)傳輸?shù)叫酒牟煌糠??;ミB也被用于芯片上

41、器件和器件整個(gè)封裝之間的金屬連接。(2)接觸:硅芯片內(nèi)部的器件與第一金屬層間在硅片表面的連接。(3)通孔:穿過各種介質(zhì)從某一金屬層到毗鄰金屬層形成電通路的開口。(4)填充薄膜:用金屬薄膜填充通孔以便在兩層金屬間形成電連接2 .例舉并描述金屬用于硅片制造的7種要求。(第十二章)(10分)答:金屬用于硅片制造的七個(gè)要求:1.導(dǎo)電率:為維持電性能的完整性,必須具有高電導(dǎo)率,能夠傳導(dǎo)高電流密度。2.粘附性:能夠粘附下層襯底,容易與外電路實(shí)現(xiàn)電連接。與半導(dǎo)體和金屬表面連接時(shí)接觸電阻低。3.淀積:易于淀積并經(jīng)相對(duì)的低溫處理后具有均勻的結(jié)構(gòu)和組分(對(duì)于合金)。能夠?yàn)榇篑R士革金屬化工藝淀積具有高深寬比的間隙。

42、4.刻印圖形/平坦化:為刻蝕過程中不刻蝕下層介質(zhì)的傳統(tǒng)鋁金屬化工藝提供具有高分辨率的光刻圖形;大馬士革金屬化易于平坦化。5.可靠性:為了在處理和應(yīng)用過程中經(jīng)受住溫度循環(huán)變化,金屬應(yīng)相對(duì)柔軟且有較好的延展性。6.抗腐蝕性:很好的抗腐蝕性,在層與層之間以及下層器件區(qū)具有最小的化學(xué)反應(yīng)。7.應(yīng)力:很好的抗機(jī)械應(yīng)力特性以便減少硅片的扭曲和材料失效,比如斷裂、空洞的形成和應(yīng)力誘導(dǎo)腐蝕。3 .解釋鋁已經(jīng)被選擇作為微芯片互連金屬的原因(第十二章)(10分)答:(1)鋁與P型硅及高濃度N型硅均能形成低歐姆接觸;(2)電阻率低(3)與SiO2粘附性強(qiáng),無需粘附層-鋁很容易和二氧化硅反應(yīng),加熱形成氧化鋁;(4)能

43、單獨(dú)作為金屬化布線,工藝簡單;(5)能用電阻絲加熱蒸發(fā),工藝簡單;(6)鋁互連線與內(nèi)引線鍵合容易;(7)能輕易淀積在硅片上,可用濕法刻蝕而不影響下層薄膜。綜上所述,在硅IC制造業(yè)中,鋁和它的主要過程是兼容的,電阻低,可不加接觸層、粘附層和阻擋層等,工藝簡單,產(chǎn)品價(jià)格低廉。4 .例舉并討論引入銅金屬化的五大優(yōu)點(diǎn)(第十二章)(10分)1 .答:1.電阻率的減小。在20c時(shí),互連金屬線的電阻率從鋁的2.65wQni減小到銅的1.678科1,減少RC延遲,增加芯片速度;2.減少了功耗。減少了線的寬度,降低了功耗;3 .更高的集成密度。更窄的線寬,允許更高密度的電路集成,這意味著需要更少的金屬層。4 .

44、良好的抗電遷徙性能。銅不需要考慮電遷徙問題。5.更少的工藝步驟。用大馬士革方法處理銅具有減少工藝步驟20%到30%的潛力。5 .什么是阻擋層金屬?阻擋層材料的基本特征是什么?哪種金屬常被用作阻擋層金屬?(第十二章)(15分)答:阻擋層金屬是淀積金屬或金屬塞,作用是阻止層上下的材料互相混合??山邮艿淖钃鯇咏饘俚幕咎卣魇牵汉玫淖钃鯏U(kuò)散特性;高電導(dǎo)率具有很低的歐姆接觸電阻;與半導(dǎo)體和金屬接觸良好;抗電遷移;膜薄和高溫下穩(wěn)定性好;抗腐蝕和氧化。通常用作阻擋層的金屬是一類具有高熔點(diǎn)且被認(rèn)為是難熔的金屬。在硅片制造業(yè)中,用于多層金屬化的普通難熔金屬有鈦、鴇、鋁、鋁、鉆和鉗。難溶金屬已經(jīng)被用于硅片制造業(yè),

45、如雙極工藝的肖特基勢壘二極管的形成。鈦鴇和氮化鈦也是兩種普通的阻擋層金屬材料,它們禁止硅襯底和鋁之間的擴(kuò)散。6 .什么是硅化物?難熔金屬硅化物在硅片制造業(yè)中重要的原因是什么?(第十二章)(10分)答:硅化物是難熔金屬與硅反應(yīng)形成的金屬化合物,是一種具有熱穩(wěn)定性的金屬化合物,并且在硅/難熔金屬的分界面具有低的電阻率。難熔金屬硅化物的優(yōu)點(diǎn)和其作用:1、降低接觸電阻,2、作為金屬與有源層的粘合劑。3、高溫穩(wěn)定性好,抗電遷移性能好4、可直接在多晶硅上淀積難熔金屬,經(jīng)加溫處理形成硅化物,工藝與現(xiàn)有硅柵工藝兼容。7 .描述RF濺射系統(tǒng)。(第十二章)(15分)答:在RF濺射系統(tǒng)中,等離子體是由RF場而非DC

46、場產(chǎn)生的。RF頻率通常為13.56MHz,加在靶電極的背面并通過電容耦合到前面。等離子體中的電子和離子都處在RF場得作用之下,但由于高頻的緣故,電子的響應(yīng)最強(qiáng)烈。腔體和電極的作用像一個(gè)二極管產(chǎn)生大量的電子流,導(dǎo)致負(fù)電荷堆積在靶電極上。這些負(fù)電荷(自由偏置產(chǎn)生)吸引正的僦離子引起對(duì)絕緣或非絕緣靶材料的濺射。硅片能夠被電偏置在與僦離子不同的場勢。加在硅片上的偏置引起僦原子直接轟擊硅片。RF偏置允許露在外面的硅片被刻蝕和清理。實(shí)際上,由于RF濺射系統(tǒng)的濺射產(chǎn)額不高,導(dǎo)致它的淀積速率低,因此應(yīng)用受到限制。有靶發(fā)射的許多二次電子穿過放射區(qū),對(duì)等離子體的產(chǎn)生沒有貢獻(xiàn)。如果這些電子被限制與離子碰撞,導(dǎo)致更多

47、的離子產(chǎn)生以轟擊靶,那么它的濺射率將高得多。在硅片制造業(yè)中為克服低效率,并取得高的金屬淀積速率,磁控濺射的概念需要發(fā)展8 .例舉雙大馬士革金屬化過程的10個(gè)步驟。(第十二章)(10分)答:(1)SiO2淀積:用PECVD淀積內(nèi)層氧化硅到希望的厚度。(2)SiN刻蝕阻擋層淀積:厚250?的SiN刻蝕阻擋層被淀積在內(nèi)層氧化硅上。SiN需要致密,沒有針孔,因此使用HDPCVD。(3)確定通孔圖形和刻蝕:光刻確定圖形、干法刻蝕通孔窗口進(jìn)入SiN中,刻蝕完成后去掉光刻(4)淀積保留介質(zhì)的SiO2:為保留層間介質(zhì),PECVD氧化硅淀積。(5)確定互連圖形:光刻確定氧化硅槽圖形,帶膠。在確定圖形之前將通孔窗

48、口放在槽里。(6)刻蝕互連槽和通孔。(7)淀積阻擋層金屬:在槽和通孔的底部及側(cè)壁用離子化的PCVD淀積鋁和氮化鋰擴(kuò)散層。(8)淀積銅種子層:用CVD淀積連續(xù)的銅種子層,種子層必須是均勻的并且沒有針孔。(9)淀積銅填充:用ECD淀積銅填充,即填充通孔窗口也填充槽。(10)用CMP清除額外的銅:用化學(xué)機(jī)械平坦清除額外的銅。9 .描述化學(xué)機(jī)械平坦化工藝。(第十八章)(10分)CMP:通過比去除低處圖形更快的速率去除高處圖形以獲得均勻表面,是一種化學(xué)和機(jī)械作用結(jié)合的平坦化過程。它通過硅片和一個(gè)跑光頭之間的相對(duì)運(yùn)動(dòng)來平坦化硅片表面,在硅片和拋光頭之間有磨料,并同時(shí)施加壓力。CMP設(shè)備也常稱為拋光機(jī)。在一

49、臺(tái)拋光機(jī)中,硅片放在一個(gè)硅片固定器或載片頭上,并面向轉(zhuǎn)盤上的拋光墊。硅片和拋光墊之間的相對(duì)運(yùn)動(dòng)由設(shè)備制造商進(jìn)行不同的控制。大部分拋光機(jī)都采用旋轉(zhuǎn)運(yùn)動(dòng)或軌道運(yùn)動(dòng)第十三章、十四章、十五章光刻1 .解釋正性光刻和負(fù)性光刻的區(qū)別?(第十三章)為什么正膠是普遍使用的光刻膠?最常用的正膠是指哪些膠?(第十五章)(10分)正性光刻把與掩膜版上相同的圖形復(fù)制到硅片上,負(fù)性光刻把與掩膜版上圖形相反的圖形復(fù)制到硅片表面,這兩種基本工藝的主要區(qū)別在于所用的光刻膠的種類不同。正刻膠在進(jìn)行曝光后留下來的的光刻膠在曝光前已被硬化,它將留在硅片表面,作為后步工藝的保護(hù)層,不需要改變掩膜版的極性,并且負(fù)性光刻膠在顯影時(shí)會(huì)變形

50、和膨脹,所以正膠是普遍使用的光刻膠傳統(tǒng)的I線光刻膠,深紫外光刻膠2 .解釋什么是暗場掩模板。(第十三章)(5分)暗場掩膜版是指一個(gè)掩膜版,它的石英版上大部分被銘覆蓋,并且不透光3 .例出光刻的8個(gè)步驟,并對(duì)每一步做出簡要解釋。(第十三章)(15分)第一步:氣相成底膜處理,其目的是增強(qiáng)硅片和光刻膠之間的粘附性。第二步:旋轉(zhuǎn)涂膠,將硅片被固定在載片臺(tái)上,一定數(shù)量的液體光刻膠滴在硅片上,然后硅片旋轉(zhuǎn)得到一層均勻的光刻膠圖層第三步:軟烘,去除光刻膠中的溶劑第四步:對(duì)準(zhǔn)和曝光,把掩膜版圖形轉(zhuǎn)移到涂膠的硅片上第五步:曝光后烘培,將光刻膠在100到110的熱板上進(jìn)行曝光后烘培第六步:顯影,在硅片表面光刻膠中

51、產(chǎn)生圖形第七步:堅(jiān)膜烘培,揮發(fā)掉存留的光刻膠溶劑,提高光刻膠對(duì)硅片表面的粘附性第八步:顯影后檢查,檢查光刻膠圖形的質(zhì)量,找出有質(zhì)量問題的硅片,描述光刻膠工藝性能以滿足規(guī)范要求4 .在硅片制造中光刻膠的兩種目的是什么?(第十三章)(5分)一,將掩膜版圖案轉(zhuǎn)移到硅片表面頂層的光刻膠中二,在后續(xù)工藝中,保護(hù)下面的材料5 .例舉并描出旋轉(zhuǎn)涂膠的4個(gè)基本步驟(第十三章)(5分)1,分滴,當(dāng)硅片靜止或者旋轉(zhuǎn)得非常緩慢時(shí),光刻膠被分滴在硅片上2,旋轉(zhuǎn)鋪開,快速加速硅片的旋轉(zhuǎn)到一高的轉(zhuǎn)速使光刻膠伸展到整個(gè)硅片表面3,旋轉(zhuǎn)甩掉,甩去多余的光刻膠,在硅片上得到均勻的光刻膠膠膜覆蓋層4,溶劑揮發(fā),以固定轉(zhuǎn)速繼續(xù)旋轉(zhuǎn)

52、已涂膠的硅片,直至溶劑揮發(fā),光刻膠膠膜幾乎干燥6 .描述曝光波長和圖像分辨率之間的關(guān)系(第十四章)(5分)減少曝光光源的波長對(duì)提高分辨率非常重要,波長的越小圖像的分辨率就越高圖像就越精確7 .例舉并描述光刻中使用的兩種曝光光源(第十四章)(5分)汞燈,高壓汞燈,電流通過裝有氤汞氣體的管子產(chǎn)生電弧放電,這個(gè)電弧發(fā)射出一個(gè)特征光譜,包括240納米到500納米之間有用的紫外輻射準(zhǔn)分子激光,準(zhǔn)分子是不穩(wěn)定分子是有惰性氣體原子和鹵素構(gòu)成只存在與準(zhǔn)穩(wěn)定激發(fā)態(tài)8 .光學(xué)光刻中影響圖像質(zhì)量的兩個(gè)重要參數(shù)是什么?(第十四章)(5分)9 .解釋掃描投影光刻機(jī)是怎樣工作的?掃描投影光刻機(jī)努力解決什么問題?(第十四章

53、)(10分)掃描投影光刻機(jī)的概念是利用反射鏡系統(tǒng)把有1:1圖像的整個(gè)掩膜圖形投影到硅片表面,其原理是,紫外光線通過一個(gè)狹縫聚焦在硅片上,能夠獲得均勻的光源,掩膜版和帶膠硅片被放置在掃描架上,并且一致的通過窄紫外光束對(duì)硅片上的光刻膠曝光由于發(fā)生掃描運(yùn)動(dòng),掩膜版圖像最終被光刻在硅片表面。掃描光刻機(jī)主要挑戰(zhàn)是制造良好的包括硅片上所有芯片的一倍掩膜版10 .光刻中采用步進(jìn)掃描技術(shù)獲得了什么好處?(第十四章)(5分)增大了曝光場,可以獲得較大的芯片尺寸,一次曝光可以多曝光些芯片,它還具有在整個(gè)掃描過程調(diào)節(jié)聚焦的能力11 .給出投影掩模板的定義。投影掩模板和光掩模板的區(qū)別是什么?(第十四章)(10分)投影

54、掩膜版是一種透明的平板,在它上面有要轉(zhuǎn)印到硅片上光刻膠層的圖形。投影掩膜版只包括硅片上一部分圖形,而光掩膜版包含了整個(gè)硅片的芯片陣列并且通過單一曝光轉(zhuǎn)印圖形12 .解釋光刻膠顯影。光刻膠顯影的目的是什么?(第十五章)(5分)光刻膠顯影是指用化學(xué)顯影液溶解由曝光造成的光刻膠的可溶解區(qū)域,其主要目的是把掩膜版圖形準(zhǔn)確復(fù)制到光刻膠中13 .解釋光刻膠選擇比。要求的比例是高還是低?(第十五章)(5分)光刻膠選擇比是指顯影液與曝光的光刻膠反應(yīng)的速度快慢,選擇比越高,反應(yīng)速度越快,所以要比例高14 .例舉出兩種光刻膠顯影方法。例舉出7種光刻膠顯影參數(shù)。(第十五章)(10分)連續(xù)噴霧顯影,旋覆浸沒顯影顯影溫

55、度,顯影時(shí)間,顯影液量,硅片洗盤,當(dāng)量濃度,清洗,排風(fēng)第十六章刻蝕1 .刻蝕工藝有哪兩種類型?簡單描述各類刻蝕工藝(10分)刻蝕工藝:干法刻蝕和濕法刻蝕。干法刻蝕是把硅片表面曝露于氣態(tài)中產(chǎn)生的等離子體,等離子體通過光刻膠中開出的窗口,與硅片發(fā)生物理或化學(xué)反應(yīng)(或這兩種反應(yīng)),從而去掉曝露的表面材料,一般用于亞微米尺寸。濕法刻蝕中,液體化學(xué)試劑(如酸、堿和溶劑等)以化學(xué)方式去除硅片表面的材料,一般用于尺寸較大的情況下(大于3微米)。2 .定義刻蝕速率并描述它的計(jì)算公式。為什么希望有高的刻蝕速率?(10分)刻蝕速率=4T/t(A/min)4丁=去掉材料的厚度1=刻蝕所用的時(shí)間高的刻蝕速率,可以通過

56、精確控制刻蝕時(shí)間來控制刻蝕的厚度。3 .定義刻蝕選擇比。干法刻蝕的選擇比是高還是低?高選擇比意味著什么?(10分)刻蝕選擇比SR=EF/ErEF=被刻蝕材料的速率Er=掩蔽層材的刻蝕速率干法刻蝕的選擇比低高選擇比意味著只刻除想要刻去的那一層材料,一個(gè)高選擇比的刻蝕工藝不刻蝕下面一層材料并且保護(hù)的光刻膠也未被刻蝕。4 .干法刻蝕的目的是什么?例舉干法刻蝕同濕法刻蝕相比具有的優(yōu)點(diǎn)。干法刻蝕的不足之處是什么?(10分)干法刻蝕的主要目的是完整地把掩膜圖形復(fù)制到硅片表面上。干法刻蝕的優(yōu)點(diǎn):1.刻蝕剖面是各向異性,具有非常好的側(cè)壁剖面控制2.好的CD控制3.最小的光刻膠脫落或粘附問題4.好的片內(nèi)、片間、批次間的刻蝕均勻性5.較低的化學(xué)制品使用和處理費(fèi)用缺點(diǎn):對(duì)層材料的差的刻蝕選擇比、等離子體帶來的器件損傷和昂貴的設(shè)備5 .解釋發(fā)生刻蝕反應(yīng)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論