理工科類筆試題_第1頁
理工科類筆試題_第2頁
理工科類筆試題_第3頁
理工科類筆試題_第4頁
理工科類筆試題_第5頁
已閱讀5頁,還剩4頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、電子信息工程、通信工程、電氣類等專業(yè)面試將會遇到試題大全來源: 王琴-雨木琴子的日志模擬電路 1、 基爾霍夫定理的內(nèi)容是什么?仕蘭微電子 基爾霍夫電流定律是一個電荷守恒定律,即在一個電路中流入一個節(jié)點的電荷與流出同一個節(jié)點的電荷相等. 基爾霍夫電壓定律是一個能量守恒定律,即在一個回路中回路電壓之和為零.2、平板電容公式(C=S/4kd)。未知 3、最根本的如三極管曲線特性。未知 4、描述反應(yīng)電路的概念,列舉他們的應(yīng)用。仕蘭微電子 5、負反應(yīng)種類電壓并聯(lián)反應(yīng),電流串聯(lián)反應(yīng),電壓串聯(lián)反應(yīng)和電流并聯(lián)反應(yīng);負反應(yīng)的優(yōu)點降低

2、放大器的增益靈敏度,改變輸入電阻和輸出電阻,改善放大器的線性和非線性失真,有效地擴展放大器的通頻帶,自動調(diào)節(jié)作用未知 6、放大電路的頻率補償?shù)哪康氖鞘裁矗心男┓椒??仕蘭微電子 7、頻率響應(yīng),如:怎么才算是穩(wěn)定的,如何改變頻響曲線的幾個方法。未知 8、給出一個查分運放,如何相位補償,并畫補償后的波特圖。凹凸 9、根本放大電路種類電壓放大器,電流放大器,互導放大器和互阻放大器,優(yōu)缺 點 ,特別是廣泛采用差分結(jié)構(gòu)的原因。未知 10、給出一差分電路,告訴其輸出電壓Y+和Y-,求共模分量和差模分量。未知 11、畫差放的兩個

3、輸入管。凹凸 12、畫出由運放構(gòu)成加法、減法、微分、積分運算的電路原理圖。并畫出一個晶體管級的 運放電路。仕蘭微電子 13、用運算放大器組成一個10倍的放大器。未知 14、給出一個簡單電路,讓你分析輸出電壓的特性就是個積分電路,并求輸出端某點 的 rise/fall時間。(Infineon筆試試題) 15、電阻R和電容C串聯(lián),輸入電壓為R和C之間的電壓,輸出電壓分別為C上電壓和R上電壓,要求制這兩種電路輸入電壓的頻譜,判斷這兩種電路何為高通濾波器,何為低通濾波器。當RC<< period - setup ? ho

4、ld 16、時鐘周期為T,觸發(fā)器D1的建立時間最大為T1max,最小為T1min。組合邏輯電路最大延遲為T2max,最小為T2min。問,觸發(fā)器D2的建立時間T3和保持時間應(yīng)滿足什么條件.華為 17、給出某個一般時序電路的圖,有Tsetup,Tdelay,Tck->q,還有 clock的delay,寫出決定最大時鐘的因素,同時給出表達式。威盛 上海筆試試題 18、說說靜態(tài)、動態(tài)時序模擬的優(yōu)缺點。威盛VIA 上海筆試試題 19、一個四級的Mux,其中第二級信號為關(guān)鍵信號 如何改善timing。威盛 上

5、海筆試試題 20、給出一個門級的圖,又給了各個門的傳輸延時,問關(guān)鍵路徑是什么,還問給出輸入,使得輸出依賴于關(guān)鍵路徑。未知 21、邏輯方面數(shù)字電路的卡諾圖化簡,時序同步異步差異,觸發(fā)器有幾種區(qū)別,優(yōu)點,全加器等等。未知 22、卡諾圖寫出邏輯表達使。威盛 上海筆試試題 23、化簡F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。威盛 24、please show the CMOS inverter schmatic,layout and its cross sectionwith P- wel

6、l process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? 威盛筆試題c  25、To design a CMOS invertor with balance rise and fall time,please define th e ration of channel width of PMOS and NMOS and ex

7、plain? 26、為什么一個標準的倒相器中P管的寬長比要比N管的寬長比大?仕蘭微電子 27、用mos管搭出一個二輸入與非門。揚智電子筆試 28、please draw the transistor level schematic of a cmos 2  AND gate and explain which  has faster response for output rising edge.(less delay time)。威盛筆試題 29、畫出NOT,NAND,NOR的符號,真值表,還有transisto

8、r level的電路。Infineon筆試 30、畫出CMOS的圖,畫出tow-to-one mux gate。威盛 上海筆試試題 31、用一個二選一mux和一個inv實現(xiàn)異或。飛利浦大唐筆試 32、畫出Y=A*B+C的cmos電路圖??茝V試題 33、用邏輯們和cmos電路實現(xiàn)ab+cd。飛利浦大唐筆試 34、畫出CMOS電路的晶體管級電路圖,實現(xiàn)Y=A*B+C(D+E)。仕蘭微電子 35、利用4選1實現(xiàn)F(x,y,z)=xz+yz。未知 36、給一個表達式f=xxxx+xxxx+xxxxx+xxxx用最少數(shù)量的與

9、非門實現(xiàn)實際上就是化簡. 37、給出一個簡單的由多個NOT,NAND,NOR組成的原理圖,根據(jù)輸入波形畫出各點波形。Infineon筆試 38、為了實現(xiàn)邏輯A XOR BOR C AND D,請選用以下邏輯中的一種,并說明為什么?1INV 2AND 3OR 4NAND 5NOR 6XOR 答案:NAND未知 39、用與非門等設(shè)計全加法器。華為 40、給出兩個門電路讓你分析異同。華為 41、用簡單電路實現(xiàn),當A為輸入時,輸出B波形為仕蘭微電子 42、A,B,C,D,E進行投票,多數(shù)服從少數(shù),輸出是F也就是如果A,B,

10、C,D,E中1的個數(shù)比0多,那么F輸出為1,否那么F為0,用與非門實現(xiàn),輸入數(shù)目沒有限制。未知 43、用波形表示D觸發(fā)器的功能。揚智電子筆試 44、用傳輸門和倒向器搭一個邊沿觸發(fā)器。揚智電子筆試 45、用邏輯們畫出D觸發(fā)器。威盛 上海筆試試題 46、畫出DFF的結(jié)構(gòu)圖,用verilog實現(xiàn)之。威盛 47、畫出一種CMOS的D鎖存器的電路圖和幅員。未知 48、D觸發(fā)器和D鎖存器的區(qū)別。新太硬件面試 49、簡述latch和filp-flop的異同。未知 50、LATCH和DFF的概念和區(qū)別。未知 5

11、1、latch與register的區(qū)別,為什么現(xiàn)在多用register.行為級描述中l(wèi)atch如何產(chǎn)生的.南山之橋 52、用D觸發(fā)器做個二分顰的電路.又問什么是狀態(tài)圖。華為 53、請畫出用D觸發(fā)器實現(xiàn)2倍分頻的邏輯電路?漢王筆試 54、怎樣用D觸發(fā)器、與或非門組成二分頻電路?東信筆試 55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分頻? 56、用filp-flop和logic-gate設(shè)計一個1位加法器,輸入carryin和current-stage,輸

12、出carryout和next-stage. 未知 57、用D觸發(fā)器做個4進制的計數(shù)。華為 58、實現(xiàn)N位Johnson Counter,N=5。南山之橋 59、用你熟悉的設(shè)計方式設(shè)計一個可預(yù)置初值的7進制循環(huán)計數(shù)器,15進制的呢?仕蘭微電子 60、數(shù)字電路設(shè)計當然必問Verilog/VHDL,如設(shè)計計數(shù)器。未知 61、BLOCKING NONBLOCKING 賦值的區(qū)別。南山之橋 62、寫異步D觸發(fā)器的verilog module。揚智電子筆試 module dff8(clk , reset, d, q)

13、;  clk;  reset;  7:0 d; output 7:0 q; reg 7:0 q; always (posedge clk or posedge reset) if(reset) q <= 0; else q <= d; endmodule 63、用D觸發(fā)器實現(xiàn)2倍分頻的Verilog描述? 漢王筆試 module divide2( clk , clk_o, reset);  cl

14、k , reset; output clk_o; wire in; reg out ; always ( posedge clk or posedge reset) if ( reset) out <= 0; else out <= in; assign in = out; assign clk_o = out; endmodule 64、可編程邏輯器件在現(xiàn)代電子設(shè)計中越來越重要,請問:a) 你所知道的可編程邏輯器件有哪些? b) 試

15、用VHDL或VERILOG、ABLE描述8位D觸發(fā)器邏輯。漢王筆試 PAL,PLD,CPLD,F(xiàn)PGA。 module dff8(clk , reset, d, q);  clk;  reset;  d; output q; reg q; always (posedge clk or posedge reset) if(reset) q <= 0; else q <= d; endmodule 65、請用HDL描述

16、四位的全加法器、5分頻電路。仕蘭微電子 66、用VERILOG或VHDL寫一段代碼,實現(xiàn)10進制計數(shù)器。未知 67、用VERILOG或VHDL寫一段代碼,實現(xiàn)消除一個glitch。未知 68、一個狀態(tài)機的題目用verilog實現(xiàn)不過這個狀態(tài)機畫的實在比擬差,很容易誤解的 。威盛 上海筆試試題 69、描述一個交通信號燈的設(shè)計。仕蘭微電子 70、畫狀態(tài)機,接受1,2,5分錢的賣報機,每份報紙5分錢。揚智電子筆試 71、設(shè)計一個自動售貨機系統(tǒng),賣soda水的,只能投進三種硬幣,要正確的找回錢數(shù)。1畫出fsm有限狀態(tài)機;2

17、用verilog編程,語法要符合fpga設(shè)計的要求。未知 72、設(shè)計一個自動飲料售賣機,飲料10分錢,硬幣有5分和10分兩種,并考慮找零:1畫出fsm有限狀態(tài)機;2用verilog編程,語法要符合fpga設(shè)計的要求;3設(shè)計工程中可使用的工具及設(shè)計大致過程。未知 73、畫出可以檢測10010串的狀態(tài)圖,并verilog實現(xiàn)之。威盛 74、用FSM實現(xiàn)101101的序列檢測模塊。南山之橋 a為輸入端,b為輸出端,如果a連續(xù)輸入為1101那么b輸出為1,否那么為0。例如a: b: 請畫出state machine;請用RTL描述其state

18、 machine。未知 75、用verilog/vddl檢測stream中的特定字符串分狀態(tài)用狀態(tài)機寫。飛利浦大唐筆試 76、用verilog/vhdl寫一個fifo控制器(包括空,滿,半滿信號)。飛利浦大唐筆試 77、現(xiàn)有一用戶需要一種集成電路產(chǎn)品,要求該產(chǎn)品能夠?qū)崿F(xiàn)如下功能:y=lnx,其中,x 為4位二進制整數(shù)輸入信號。y為二進制小數(shù)輸出,要求保存兩位小數(shù)。電源電壓為35v假 設(shè)公司接到該工程后,交由你來負責該產(chǎn)品的設(shè)計,試討論該產(chǎn)品的設(shè)計全程。仕蘭微電子 78、sram,falsh memory,及dram的區(qū)別?新太硬件面試

19、 79、給出單管DRAM的原理圖(西電版?數(shù)字電子技術(shù)根底?作者楊頌華、馮毛官205頁圖914b),問你有什么方法提高refresh time,總共有5個問題,記不起來了。降低溫度,增大電容存儲容量Infineon筆試 80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? 威盛筆試題 81、名詞:sram,ssram,sdram&#

20、160;名詞IRQ,BIOS,USB,VHDL,SDR IRQ: Interrupt ReQuest BIOS: Basic  Output System USB: Universal Serial Bus VHDL: VHIC Hardware Description Language SDR: Single Data Rate 壓控振蕩器的英文縮寫(VCO)。 動態(tài)隨機存儲器的英文縮寫(DRAM)。 名詞解釋,無聊的外文縮寫罷了,比方PCI、ECC、DDR、interrupt、pipelin

21、e IRQ,BIOS, USB,VHDL,VLSI VCO(壓控振蕩器) RAM (動態(tài)隨機存儲器),F(xiàn)IR IIR DFT(離散傅立葉變換)或者是中文的,比方:a.量化誤差 b.直方圖 c.白平衡 _ IC設(shè)計根底流程、工藝、幅員、器件 1、我們公司的產(chǎn)品是集成電路,請描述一下你對集成電路的認識,列舉一些與集成電路相關(guān)的內(nèi)容如講清楚模擬、數(shù)字、雙極型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念。仕蘭微面試題目 2、FPGA和ASIC的概念,他們的區(qū)別。未知 答案:FPGA是可編程ASIC。&#

22、160;ASIC:專用集成電路,它是面向?qū)iT用途的電路,專門為一個用戶設(shè)計和制造的。根據(jù)一個用戶的特定要求,能以低研制本錢,短、交貨周期供貨的全定制,半定制集成電路。與門 陣列等其它ASIC(Application Specific IC)相比,它們又具有設(shè)計開發(fā)周期短、設(shè)計制造本錢低、開發(fā)工具先進、標準產(chǎn)品無需測試、質(zhì)量穩(wěn)定以及可實時在線檢驗等優(yōu)點 3、什么叫做OTP片、掩膜片,兩者的區(qū)別何在?仕蘭微面試題目 4、你知道的集成電路設(shè)計的表達方式有哪幾種?仕蘭微面試題目 5、描述你對集成電路設(shè)計流程的認識。仕蘭微面試題目 6、簡述FPGA等可編

23、程邏輯器件設(shè)計流程。仕蘭微面試題目 7、IC設(shè)計前端到后端的流程和eda工具。未知 8、從RTL synthesis到tape out之間的設(shè)計flow,并列出其中各步使用的tool.未知9、Asic的design flow。威盛 上海筆試試題 10、寫出asic前期設(shè)計的流程和相應(yīng)的工具。威盛 11、集成電路前段設(shè)計流程,寫出相關(guān)的工具。揚智電子筆試 先介紹下IC開發(fā)流程: 1.代碼輸入design ) 用vhdl或者是verilog語言來完成器件的功能描述,生成hdl代碼語言輸入工具:SUMMIT V

24、ISUALHDL MENTOR RENIOR 圖形輸入: composer(cadence); viewlogic (viewdraw) 2.電路仿真circuit simulation) 將vhd代碼進行先前邏輯仿真,驗證功能描述是否正確數(shù)字電路仿真工具: Verolog: CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模擬電路

25、仿真工具: *ANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.邏輯綜合synthesis tools) 邏輯綜合工具可以將設(shè)計思想vhd代碼轉(zhuǎn)化成對應(yīng)一定工藝手段的門級電路;將初級仿真中所沒有考慮的門沿gates delay反標到生成的門級網(wǎng)表中,返回電路仿真階段進行再 仿真。最終仿真結(jié)果生成的網(wǎng)表稱為物理網(wǎng)表。 12、請簡述一下設(shè)計后端的整個流程?仕蘭微面試題目 13、是否接觸過自動布局布線?請說出一兩種工具軟件。自動布局布線需要哪些根本元素?仕蘭微面試題目

26、0;14、描述你對集成電路工藝的認識。仕蘭微面試題目 15、列舉幾種集成電路典型工藝。工藝上常提到0.25,0.18指的是什么?仕蘭微面試題目 16、請描述一下國內(nèi)的工藝現(xiàn)狀。仕蘭微面試題目 17、半導體工藝中,摻雜有哪幾種方式?仕蘭微面試題目 18、描述CMOS電路中閂鎖效應(yīng)產(chǎn)生的過程及最后的結(jié)果?仕蘭微面試題目 19、解釋latch-up現(xiàn)象和Antenna effect和其預(yù)防措施.未知 20、什么叫Latchup?科廣試題 21、什么叫窄溝效應(yīng)? 科廣試題 22、什么是NMOS、PMOS、CMOS

27、?什么是增強型、耗盡型?什么是PNP、NPN?他們有什么差異?仕蘭微面試題目 23、硅柵COMS工藝中N阱中做的是P管還是N管,N阱的阱電位的連接有什么要求?仕蘭微面試題目 24、畫出CMOS晶體管的CROSS-OVER圖應(yīng)該是縱剖面圖,給出所有可能的傳輸特性和轉(zhuǎn)移特性。Infineon筆試試題 25、以interver為例,寫出N阱CMOS的process流程,并畫出剖面圖??茝V試題 26、Please explain how we describe the resistance in semiconductor. Compare th e

28、resistance of a metal,poly and diffusion in tranditional CMOS process.威盛 筆試題 27、說明mos一半工作在什么區(qū)。凹凸的題目和面試 28、畫p-bulk 的nmos截面圖。凹凸的題目和面試 29、寫schematic note?, 越多越好。凹凸的題目和面試 30、寄生效應(yīng)在ic設(shè)計中怎樣加以克服和利用。未知 31、太底層的MOS管物理特性感覺一般不大會作為筆試面試題,因為全是微電子物理,公式推導太羅索,除非面試出題的是個老學究。IC設(shè)計的話

29、需要熟悉的軟件: Cadence,Synops ys, Avant,UNIX當然也要大概會操作。 32、unix 命令cp -r, rm,uname。揚智電子筆試 _ 單片機、MCU、計算機原理 1、簡單描述一個單片機系統(tǒng)的主要組成模塊,并說明各模塊之間的數(shù)據(jù)流流向和控制流流向。簡述單片機應(yīng)用系統(tǒng)的設(shè)計原那么。仕蘭微面試題目 2、畫出8031與27162K*8ROM的連線圖,要求采用三-八譯碼器,8031的P2.5,P2.4和P2 .3參加譯碼,根本地址范圍為3000H-3FFFH。該2716有沒有重疊地址?根據(jù)是什么?假設(shè)

30、有,那么寫出每片2716的重疊地址范圍。仕蘭微面試題目 3、用8051設(shè)計一個帶一個8*16鍵盤加驅(qū)動八個數(shù)碼管共陽的原理圖。仕蘭微面試題目 4、PCI總線的含義是什么?PCI總線的主要特點是什么? 仕蘭微面試題目 5、中斷的概念?簡述中斷的過程。仕蘭微面試題目 6、如單片機中斷幾個/類型,編中斷程序注意什么問題;未知 7、要用一個開環(huán)脈沖調(diào)速系統(tǒng)來控制直流電動機的轉(zhuǎn)速,程序由8051完成。簡單原理如下:由P3.4輸出脈沖的占空比來控制轉(zhuǎn)速,占空比越大,轉(zhuǎn)速越快;而占空比由K7-K0八個開關(guān)來設(shè)置,直接與P1口相連開關(guān)撥到下方時為&q

31、uot;0",撥到上方時為"1",組成一個八位二進制數(shù)N,要求占空比為N/256。 仕蘭微面試題目 下面程序用計數(shù)法來實現(xiàn)這一功能,請將空余局部添完整。 MOV P1,#0FFH LOOP1 :MOV R4,#0FFH - MOV R3,#00H LOOP2 :MOV A,P1 - SUBB A,R3 JNZ SKP1 - SKP1:MOV C,70H MOV P3.4,C ACALL DELAY 

32、;:此延時子程序略 - - AJMP LOOP1 8、單片機上電后沒有運轉(zhuǎn),首先要檢查什么?東信筆試題 9、What is PC Chipset? 揚智電子筆試 芯片組Chipset是主板的核心組成局部,按照在主板上的排列位置的不同,通常分為北橋芯片和南橋芯片。北橋芯片提供對CPU的類型和主頻、內(nèi)存的類型和最大容量ISA/PCI/A GP插槽、ECC糾錯等支持。南橋芯片那么提供對KBC鍵盤控制器、RTC實時時鐘控制器、USB通用串行總線、Ultra DMA/33(66)EIDE數(shù)據(jù)傳輸方式和ACPI高級能 

33、;源管理等的支持。其中北橋芯片起著主導性的作用,也稱為主橋Host Bridge。 除了最通用的南北橋結(jié)構(gòu)外,目前芯片組正向更高級的加速集線架構(gòu)開展,Intel的8xx系列芯片組就是這類芯片組的代表,它將一些子系統(tǒng)如IDE接口、音效、MODEM和USB直接 接入主芯片,能夠提供比PCI總線寬一倍的帶寬,到達了266MB/s。 10、如果簡歷上還說做過cpu之類,就會問到諸如cpu如何工作,流水線之類的問題。未知 11、計算機的根本組成局部及其各自的作用。東信筆試題 12、請畫出微機接口電路中,典型的輸入設(shè)備與微機接口邏輯示意圖數(shù)據(jù)接口、控制接口

34、、所存器/緩沖器。 漢王筆試 13、cache的主要局部什么的。威盛 上海筆試試題 14、同步異步傳輸?shù)牟町愇粗?#160;15、串行通信與同步通信異同,特點,比擬。華為面試題 16、RS232c高電平脈沖對應(yīng)的TTL邏輯是?(負邏輯?) 華為面試題 _ 信號與系統(tǒng) 1、的話音頻率一般為3003400HZ,假設(shè)對其采樣且使信號不失真,其最小的采樣頻率應(yīng)為多大?假設(shè)采用8KHZ的采樣頻率,并采用8bit的PCM編碼,那么存儲一秒鐘的信號數(shù)據(jù)量有多大?仕蘭微面試題目 2、什么耐奎斯特定律,怎么由模擬

35、信號轉(zhuǎn)為數(shù)字信號。華為面試題 3、如果模擬信號的帶寬為 5khz,要用8K的采樣率,怎么辦?lucent) 兩路? 4、信號與系統(tǒng):在時域與頻域關(guān)系。華為面試題 5、給出時域信號,求其直流分量。未知 6、給出一時域信號,要求1寫出頻率分量,2寫出其傅立葉變換級數(shù);3當波形經(jīng)過低通濾波器濾掉高次諧波而只保存一次諧波時,畫出濾波后的輸出波形。未知 7、sketch 連續(xù)正弦信號和連續(xù)矩形波(都有圖)的傅立葉變換 。Infineon筆試試題 8、拉氏變換和傅立葉變換的表達式及聯(lián)系。新太硬件面題

36、0;_ DSP、嵌入式、軟件等 1、請用方框圖描述一個你熟悉的實用數(shù)字信號處理系統(tǒng),并做簡要的分析;如果沒有,也可以自己設(shè)計一個簡單的數(shù)字信號處理系統(tǒng),并描述其功能及用途。仕蘭微面試題目 2、數(shù)字濾波器的分類和結(jié)構(gòu)特點。仕蘭微面試題目 3、IIR,F(xiàn)IR濾波器的異同。新太硬件面題 4、拉氏變換與Z變換公式等類似東西,隨便翻翻書把如.h(n)=-a*h(n-1)+b*(n) a.求h(n)的z變換;b.問該系統(tǒng)是否為穩(wěn)定系統(tǒng);c.寫出FIR數(shù)字濾波器的差分方程;未知 5、DSP和通用處理器在結(jié)構(gòu)上有什么不同,請簡要畫出你熟悉的一種DSP

37、結(jié)構(gòu)圖。信威dsp軟件面試題 6、說說定點DSP和浮點DSP的定義或者說出他們的區(qū)別信威dsp軟件面試題 7、說說你對循環(huán)尋址和位反序?qū)ぶ返睦斫?信威dsp軟件面試題 8、請寫出【8,7】的二進制補碼,和二進制偏置碼。用Q15表示出0.5和0.5.信威dsp軟件面試題 9、DSP的結(jié)構(gòu)哈佛結(jié)構(gòu);未知 10、嵌入式處理器類型(如ARM),操作系統(tǒng)種類Vxworks,ucos,winCE,linux,操作系統(tǒng) 方面偏CS方向了,在CS篇里面講了;未知 11、有一個LDO芯片將用于對 供電,需要你對他進行評估,你將如何設(shè)計你的測試

38、工程? 12、某程序在一個嵌入式系統(tǒng)200M CPU,50M SDRAM中已經(jīng)最優(yōu)化了,換到零一個系統(tǒng) 300M CPU,50M SDRAM中是否還需要優(yōu)化? Intel 13、請簡要描述HUFFMAN編碼的根本原理及其根本的實現(xiàn)方法。仕蘭微面試題目 14、說出OSI七層網(wǎng)絡(luò)協(xié)議中的四層任意四層。仕蘭微面試題目 15、A 仕蘭微面試題目 i nclude void testf(int*p)  *p+=1;  main()

39、60; int *n,m2; n=m; m0=1; m1=8; testf(n); printf("Data v alue is %d ",*n);  - B) i nclude void testf(int*p)  *p+=1;  main() int *n,m2; n=m; m0=1; m1=8; testf(&n); printf(Data v

40、 alue is %d",*n);  下面的結(jié)果是程序A還是程序B的? Data v alue is 8 那么另一段程序的結(jié)果是什么? 16、那種排序方法最快? 華為面試題 17、寫出兩個排序算法,問哪個好?威盛 18、編一個簡單的求n!的程序 。Infineon筆試試題 19、用一種編程語言寫n!的算法。威盛 上海筆試試題 20、用C語言寫一個遞歸算法求N??;華為面試題 21、給一個C的函數(shù),關(guān)于字符串和數(shù)組,找出錯誤;華為面試題&#

41、160;22、防火墻是怎么實現(xiàn)的? 華為面試題 23、你對哪方面編程熟悉?華為面試題 24、冒泡排序的原理。新太硬件面題 25、操作系統(tǒng)的功能。新太硬件面題 26、學過的計算機語言及開發(fā)的系統(tǒng)。新太硬件面題 27、一個農(nóng)夫發(fā)現(xiàn)圍成正方形的圍欄比長方形的節(jié)省4個木樁但是面積一樣.羊的數(shù)目和正方形圍欄的樁子的個數(shù)一樣但是小于36,問有多少羊?威盛 28、C語言實現(xiàn)統(tǒng)計某個cell在某.v文件調(diào)用的次數(shù)(這個題目真bt) 威盛 上海筆試試題 29、用C語言寫一段控制 中馬達振子的驅(qū)動程序。(威勝)&#

42、160;30、用perl或TCL/Tk實現(xiàn)一段字符串識別和比擬的程序。未知 31、給出一個堆棧的結(jié)構(gòu),求中斷后顯示結(jié)果,主要是考堆棧壓入返回地址存放在低端地址還是高端。未知 32、一些DOS命令,如顯示文件,拷貝,刪除。未知 33、設(shè)計一個類,使得該類任何形式的派生類無論怎么定義和實現(xiàn),都無法產(chǎn)生任何對象 實例。IBM 34、What is pre-emption? (Intel) 35、What is the state of a process if a resource is not available? (Intel)

43、0;36、三個 float a,b,c;問值a+b+c=(b+a)+c, (a+b)+c=(a+c)+b。(Intel) 37、把一個鏈表反向填空。 (lucent) 38、x4+a*x3+x2+c*x+d 最少需要做幾次乘法? (Dephi) _ 主觀題 1、你認為你從事研發(fā)工作有哪些特點?仕蘭微面試題目 2、說出你的最大弱點及改良方法。威盛 上海筆試試題 3、說出你的理想。說出你想到達的目標。 題目是英文出的,要用英文答復。威盛VIA 

44、60;上海筆試試題 4、我們將研發(fā)人員分為假設(shè)干研究方向,對協(xié)議和算法理解主要應(yīng)用在網(wǎng)絡(luò)通信、圖象語音壓縮方面、電子系統(tǒng)方案的研究、用MCU、DSP編程實現(xiàn)電路功能、用ASIC設(shè)計技術(shù)設(shè)計電路包括MCU、DSP本身、電路功能模塊設(shè)計包括模擬電路和數(shù)字電路、集成電路后端設(shè)計主要是指綜合及自動布局布線技術(shù)、集成電路設(shè)計與工藝接口的研究. 你希望從事哪方面的研究?可以選擇多個方向。另外,已經(jīng)從事過相關(guān)研發(fā)的人員可以詳細描述你的研發(fā)經(jīng)歷。仕蘭微面試題目 5、請談?wù)剬σ粋€系統(tǒng)設(shè)計的總體思路。針對這個思路,你覺得應(yīng)該具備哪些方面的知識?仕蘭微面試題目 6、設(shè)想你將設(shè)

45、計完成一個電子電路方案。請簡述用EDA軟件如PROTEL進行設(shè)計包括原理圖和PCB圖到調(diào)試出樣機的整個過程。在各環(huán)節(jié)應(yīng)注意哪些問題?電源的穩(wěn)定,電 容的選取,以及布局的大小。漢王筆試共同的注意點 各大公司電子類招聘題目精選 1.一般情況下,面試官主要根據(jù)你的簡歷提問,所以一定要對自己負責,把簡歷上的東西搞明白; 2.個別招聘針對性特別強,就招目前他們確的方向的人,這種情況下,就要投其所好,盡量介紹其所關(guān)心的東西。 3.其實技術(shù)面試并不難,但是由于很多東西都忘掉了,才覺得有些難。所以最好在面試前把該看的書看看。 4.雖然說技術(shù)面試是實力

46、的較量與表達,但是不可否認,由于不用面試官/公司所專領(lǐng)域及愛好不同,也有面試也有很大的偶然性,需要冷靜對待。不能因為被拒,就否認自己或責罵公司。 5.面試時要take it easy,對越是自己鐘情的公司越要這樣。描述反應(yīng)電路的概念,列舉他們的應(yīng)用。反應(yīng),就是在電子系統(tǒng)中,把輸出回路中的電量輸入到輸入回路中去。反應(yīng)的類型有:電壓串聯(lián)負反應(yīng)、電流串聯(lián)負反應(yīng)、電壓并聯(lián)負反應(yīng)、電流并聯(lián)負反應(yīng)。負反應(yīng)的優(yōu)點:降低放大器的增益靈敏度,改變輸入電阻和輸出電阻,改善放大器的線性和非線性失真,有效地擴展放大器的通頻帶,自動調(diào)節(jié)作用。電壓負反應(yīng)的特點:電路的輸出電壓趨向于維持恒定。電流負反應(yīng)的特點:電

47、路的輸出電流趨向于維持恒定。3、有源濾波器和無源濾波器的區(qū)別無源濾波器:這種電路主要有無源元件R、L和C組成有源濾波器:集成運放和R、C組成,具有不用電感、體積小、重量輕等優(yōu)點。集成運放的開環(huán)電壓增益和輸入阻抗均很高,輸出電阻小,構(gòu)成有源濾波電路后還具有一定的電壓放大和緩沖作用。但集成運放帶寬有限,所以目前的有源濾波電路的工作頻率難以做得很高。數(shù)字電路1、同步電路和異步電路的區(qū)別是什么?同步電路:存儲電路中所有觸發(fā)器的時鐘輸入端都接同一個時鐘脈沖源,因而所有觸發(fā)器的狀態(tài)的變化都與所加的時鐘脈沖信號同步。異步電路:電路沒有統(tǒng)一的時鐘,有些觸發(fā)器的時鐘輸入端與時鐘脈沖源相連,這有這些觸發(fā)器的狀態(tài)變

48、化與時鐘脈沖同步,而其他的觸發(fā)器的狀態(tài)變化不與時鐘脈沖同步。2、什么是"線與"邏輯,要實現(xiàn)它,在硬件特性上有什么具體要求?將兩個門電路的輸出端并聯(lián)以實現(xiàn)與邏輯的功能成為線與。在硬件上,要用OC門來實現(xiàn),同時在輸出端口加一個上拉電阻。由于不用OC門可能使灌電流過大,而燒壞邏輯門。3、解釋setup和hold time violation,畫圖說明,并說明解決方法。威盛上海筆試試題Setup/hold time是測試芯片對輸入信號和時鐘信號之間的時間要求。建立時間是指觸發(fā)器的時鐘信號上升沿到來以前,數(shù)據(jù)穩(wěn)定不變的時間。輸入信號應(yīng)提前時鐘上升沿如上升沿有效T時間到達芯片,這個T就是建立時間-Setup time.如不滿足setup time,這個數(shù)據(jù)就不能被這一時鐘打入觸發(fā)器,只有在下一個時鐘上升沿,數(shù)據(jù)才能被打入觸發(fā)器。保持時間是指觸發(fā)器的時鐘信號上升沿到來以后,數(shù)據(jù)穩(wěn)定不變的時間。如果hol

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論