基于-單片機簡易數(shù)控直流電壓源設(shè)計_第1頁
基于-單片機簡易數(shù)控直流電壓源設(shè)計_第2頁
基于-單片機簡易數(shù)控直流電壓源設(shè)計_第3頁
基于-單片機簡易數(shù)控直流電壓源設(shè)計_第4頁
基于-單片機簡易數(shù)控直流電壓源設(shè)計_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、-摘 要本設(shè)計以AT89S52單片機為核心控制芯片,實現(xiàn)數(shù)控直流電源功能的方案。設(shè)計采用8位精度的DA轉(zhuǎn)換器DAC0832、三端可調(diào)穩(wěn)壓器LM350和一個UA741運算放大器構(gòu)成穩(wěn)壓源,實現(xiàn)了輸出電壓圍為1.4V+9.9V,電壓步進0.1V的數(shù)控穩(wěn)壓電源,最大紋波只有10mV,具有較高的精度與穩(wěn)定性。另外該方案只采用了5個按鍵實現(xiàn)輸出電壓的方便設(shè)定,具有設(shè)定值調(diào)整,微調(diào)步進量0.1,粗調(diào)步進量1三種調(diào)整功能,顯示局部我們采用了三位一體的數(shù)碼管來顯示輸出電壓值。我們自行設(shè)計了12V和5V電源為系統(tǒng)供電。該電路的原理是通過MCU控制DA的輸出電壓大小,通過放大器放大,放大后的電壓作為LM350的參

2、考電壓,真正的電壓還是由電壓模塊LM350輸出。利用5個按鈕調(diào)整電壓、并且通過共陰極三位一體LED顯示輸出的電壓值。設(shè)計使用3三位一體數(shù)碼管,可以顯示三位數(shù),一個小數(shù)位,比方可以顯示5.90V,采用動態(tài)掃描驅(qū)動方式。與傳統(tǒng)的穩(wěn)壓電源相比具有操作方便,電源穩(wěn)定性高以及其輸出電壓大小采用數(shù)碼顯示的特點。關(guān)鍵詞:數(shù)控,步進,三端可調(diào)穩(wěn)壓器ABSTRACTThe design is with the MCUAT89S52 for the core control chip,which carry out the project that the function of the number contr

3、ols the direct current power supply.Designed with the precision of eight DA converter DAC0832,three-adjustable regulators LM350 and a UA741 Operational Amplifiers constitute Regulators source, the output voltage range of +1.4 V +9.9 V, 0.1V voltage step NC Regulators Power, it has with high precisio

4、n and stability and only have the biggest ripple of 10 mV. Meanwhile, the program used only five keys to achieve the convenience of the output voltage setting ,with setting value adjustments. It has three kinds of adjust function,which can carry out micro-adjustment (Stepping volume 0.1)and the coar

5、se adjustment (Stepping volume 1). The show part we have adopted a three-dimensional digital pipe to show the output voltage value. And we designed the 12V and 5V power supply system for electricity. The principle of that electric circuit was that the output voltage size which passes the MCU to cont

6、rol DA, passing the amplifier amplification, and the voltage is the reference voltage of the LM350. And the real voltage is still the LM350 outputs are from the voltage mold piece. Making use of five buttons to adjustment voltages, and pass the total cathode Christian Trinity LED to display the outp

7、uts voltage .In this design I used 3 piece code tubes, which can show three position numbers, one of them is a fraction position. for e*ample ,it can show a 5.90 Vs. In this design I adopt the scan to drive way is dynamic state sweep. With traditional steady press power supply to pare to have an ope

8、ration convenience, the power supply stability high characteristics, its e*portation electric voltage size adoption figures show.Keywords: Numerical Control, Stepping,Three-adjustable regulators目 錄第1章 緒論11.1 研究背景及意義11.2 國外研究狀況11.3 課題研究方法2第2章 數(shù)控電壓源的總體方案介紹32.1 數(shù)控電壓源的方案論證32.1.1 方案一:采用單片機的數(shù)控電壓源的設(shè)計32.1.2

9、 方案二:采用調(diào)整管的雙計數(shù)器的數(shù)控電壓源的設(shè)計32.1.3 方案三:采用調(diào)整管的十進制計數(shù)器的數(shù)控電壓源的設(shè)計42.2 數(shù)控電壓源的方案比擬52.2.1 數(shù)控局部的比擬52.2.2 輸出局部的比擬52.2.3 顯示局部的比擬5第3章 數(shù)控電壓源的工作原理63.1 整機電路框圖63.2 工作原理63.2.1 DA轉(zhuǎn)換電路工作原理63.2.2 電壓調(diào)整電路工作原理73.2.3 數(shù)值計算8第4章 單元電路工作原理94.1 時鐘電路94.1.1 時鐘振蕩電路圖94.1.2 時鐘信號的產(chǎn)生94.2 復(fù)位電路94.3 鍵盤接口電路104.3.1 鍵盤電路104.3.2 鍵盤電路工作原理104.4 顯示接

10、口電路114.4.1 顯示電路原理114.4.2 LED顯示方式124.4.3 顯示電路原理圖124.5 DA轉(zhuǎn)換電路134.6 電源電路134.6.1 穩(wěn)壓器78L12和79L12134.6.2 電源電路原理圖14 4.7 所用主要芯片14 4.7.1 單片機AT89S5214 4.7.2 芯片ADC0832154.7.3 LM35017 4.7.4 運放UA74118第5章 數(shù)控電壓源的軟件系統(tǒng)205.1 主程序205.2 子程序21 5.2.1 中斷子程序21 5.2.2 顯示子程序22 5.2.3 鍵掃子程序23第6章 電路的調(diào)試246.1 硬件的調(diào)試246.1.1 硬件調(diào)試過程246

11、.1.2 電路數(shù)據(jù)的測試256.2 軟件的調(diào)試26第7章 數(shù)控電壓源的使用說明27結(jié)論28參考文獻29致30附錄1器件清單31附錄2源程序清單321主程序的源程序清單322外中斷1子程序的源程序清單353顯示子程序的源程序清單364鍵掃子程序的源程序清單375延時及啟動0832子程序的源程序清單38. z-. z-第1章 緒 論1.1 研究背景及意義數(shù)控直流電壓源是電子技術(shù)常用的設(shè)備之一,廣泛的應(yīng)用于教學(xué)、科研等領(lǐng)域。傳統(tǒng)的多功能數(shù)控直流電壓源功能簡單、難控制、可靠性低、干擾大、精度低且體積大、復(fù)雜度高。普通數(shù)控直流電源品種很多,但均存在以下二個問題: 1)輸出電壓是通過粗調(diào)(波段開關(guān))及細調(diào)

12、(電位器)來調(diào)節(jié)。這樣,當(dāng)輸出電壓需要準確輸出,或需要在一個小圍改變時(如1.051.07V),困難就較大。另外,隨著使用時間的增加,波段開關(guān)及電位器難免接觸不良,對輸出會有影響。2)穩(wěn)壓方式均是采用串聯(lián)型穩(wěn)壓電路,對過載進展限流或截流型保護,電路構(gòu)成復(fù)雜,穩(wěn)壓精度也不高。在家用電器和其他各類電子設(shè)備中,通常都需要電壓穩(wěn)定的直流電源供電。但在實際生活中,都是由220V的交流電網(wǎng)供電。這就需要通過變壓、整流、濾波、穩(wěn)壓電路將交流電轉(zhuǎn)換成穩(wěn)定的直流電。濾波器用于濾除整流輸出電壓中的紋波,一般傳統(tǒng)電路由濾波扼流圈和電容器組成,假設(shè)由晶體管濾波器來替代,則可縮小直流電源的體積,減輕其重量,且晶體管濾波

13、直流電源不需直流穩(wěn)壓器就能用作家用電器的電源,這既降低了家用電器的本錢,又縮小了其體積,使家用電器小型化。傳統(tǒng)的數(shù)控直流電壓源通常采用電位器和波段開關(guān)來實現(xiàn)電壓的調(diào)節(jié),并由電壓表指示電壓值的大小。因此,電壓的調(diào)整精度不高,讀數(shù)欠直觀,電位器也易磨損,而基于單片機控制的數(shù)控直流電源能較好地解決以上傳統(tǒng)穩(wěn)壓電源的缺乏。隨著科學(xué)技術(shù)的不斷開展,特別是計算機技術(shù)的突飛猛進,現(xiàn)代工業(yè)應(yīng)用的工控產(chǎn)品均需要有低紋波、寬調(diào)整圍的高壓電源,特別是在一些高能物理領(lǐng)域,急需電腦或單片機控制的低紋波、寬調(diào)整圍的電源。1.2 國外研究現(xiàn)狀 從上世紀九十年代末起,隨著對系統(tǒng)更高效率和更低功耗的需求,電信與數(shù)據(jù)通訊設(shè)備的技

14、術(shù)更新推動電源行業(yè)中直流/直流電源轉(zhuǎn)換器向更高靈活性和智能化方向開展。在80年代的第一代分布式供電系統(tǒng)開場轉(zhuǎn)向到20世紀末更為先進的第四代分布式供電構(gòu)造以及中間母線構(gòu)造,直流/直流電源行業(yè)正面臨著新的挑戰(zhàn),即如何在現(xiàn)有系統(tǒng)參加嵌入式電源智能系統(tǒng)和數(shù)字控制。早在90年代中期,半導(dǎo)體生產(chǎn)商們就開發(fā)出了數(shù)控電壓源管理技術(shù),而在當(dāng)時,這種方案的性價比與當(dāng)時廣泛使用的模擬控制方案相比處于劣勢,因而無法被廣泛采用。由于板載電源管理的更廣泛應(yīng)用和行業(yè)能源節(jié)約和運行最優(yōu)化的關(guān)注,電源行業(yè)和半導(dǎo)體生產(chǎn)商們便開場共同開發(fā)這種名為數(shù)控電壓源的新產(chǎn)品。現(xiàn)今隨著直流電源技術(shù)的飛躍開展,整流系統(tǒng)由以前的分立元件和集成電路

15、控制開展為微機控制,從而使直流電源智能化,具有遙測、遙信、遙控的三遙功能,根本實現(xiàn)了直流電源的無人值守。本次畢業(yè)設(shè)計設(shè)計的數(shù)控直流電源主要由單片機系統(tǒng)、鍵盤、數(shù)碼管顯示器、D/A轉(zhuǎn)換電路、穩(wěn)壓電路等幾局部組成。單片機系統(tǒng)選用89S52型號單片機,采用獨立式鍵盤及LM350作穩(wěn)壓器件。1.3 課題研究方法隨著時代的開展,數(shù)字電子技術(shù)已經(jīng)普及到我們生活、工作、科研等各個領(lǐng)域,本文將介紹一種數(shù)控直流電源,本電源由電源電路、顯示電路、控制電路、數(shù)模轉(zhuǎn)換電路四局部組成。準確說就是電源電路提供各個芯片電源、數(shù)碼管、放大器所需電壓,顯示電路用于顯示電源輸出電壓的大小,同時分析了數(shù)字技術(shù)和模擬技術(shù)相互轉(zhuǎn)換的概

16、念。與傳統(tǒng)的穩(wěn)壓電源相比具有操作方便,電源穩(wěn)定性高以及其輸出電壓大小采用數(shù)碼顯示的特點。數(shù)控電壓源是最常用的儀器設(shè)備,在科研及實驗中都是必不可少的。目前所使用的直流可調(diào)電源中,幾乎都為旋紐開關(guān)調(diào)節(jié)電壓,調(diào)節(jié)精度不高,而且經(jīng)常跳變,使用麻煩。利用數(shù)控電壓源,可以到達每步0.1V的精度,輸出電壓圍1.4V至9.9V,電流可以到達3A。針對以上問題,本課題設(shè)計了一種以單片機為核心的數(shù)控式高精度簡易直流電源的設(shè)計,該電源采用數(shù)字調(diào)節(jié)、閉環(huán)實時監(jiān)控、輸出精度高,特別適用于各種有較高精度要求的場合。其設(shè)計方法是由單片機通過D/A,控制驅(qū)動模塊輸出一個穩(wěn)定電壓,同時穩(wěn)壓方法采用三端可調(diào)穩(wěn)壓管進展調(diào)整,輸出電

17、壓通過電阻反應(yīng)給運放,與設(shè)定值進展比擬,假設(shè)有偏差則調(diào)整輸出。工作過程中,單片機輸出驅(qū)動LED顯示,通過鍵盤可設(shè)置和調(diào)整電壓值。該電路具有設(shè)計簡單,應(yīng)用廣泛,精度較高等特點。第2章 數(shù)控電壓源的方案介紹2.1 數(shù)控電壓源的方案論證目前數(shù)控電壓源已廣泛使用,要實現(xiàn)目標(biāo)其方案比擬多,主要有以下幾種方案: 方案一:采用單片機的數(shù)控電壓源的設(shè)計采用常用的52芯片作為控制器,P0口和DAC0832的數(shù)據(jù)口直接相連,DA的和連接后接P3.4,和接單片機的端,讓DA工作在單緩沖方式下。DA的8腳接參考電壓,DA的基準電壓接5V電源,所以在DAC的8腳輸出電壓的分辨率為5V/256約等于0.02V,也就是說D

18、A輸入數(shù)據(jù)端每增加1,電壓增加0.02V。通過運放LM324將DA的輸出電流轉(zhuǎn)化為電壓,再通過運放UA741將電壓反相并放大。最后經(jīng)LM350調(diào)整輸出電壓并穩(wěn)壓。其硬件框圖如圖2.1所示:圖2.1 方案一硬件框圖 方案二:采用調(diào)整管的雙計數(shù)器的數(shù)控電壓源的設(shè)計此方案采用傳統(tǒng)的調(diào)整管方案,主要特點在于使用一套雙計數(shù)器完成系統(tǒng)的控制功能,其中二進制計數(shù)器的輸出經(jīng)過D/A變換后去控制誤差放大的基準電壓,以控制輸出步進。十進制計數(shù)器通過譯碼后數(shù)碼管顯示輸出電壓值,為了使系統(tǒng)工作正常,必須保證雙十計數(shù)器同步工作。其硬件框圖如圖2.2所示:圖2.2 方案二硬件框圖 方案三:采用調(diào)整管的十進制計數(shù)器的數(shù)控電

19、壓源的設(shè)計此方案不同于方案之二處在于使用一套十進制計數(shù)器,一方面完成電壓的譯碼顯示,另一方面其作為EPROM的地址輸入,而由EPROM的輸出經(jīng)D/A變換后控制誤差放大的基準電壓來實現(xiàn)輸出步進,只使用了一套計數(shù)器,回避了方案二中必須保證雙計數(shù)器同步的問題,但由于控制數(shù)據(jù)燒錄在EPROM中,使系統(tǒng)設(shè)計靈活性降低。其硬件框圖如圖2.3所示:圖2.3 方案三硬件框圖2.2 方案比擬 數(shù)控局部的比擬 方案二、三中采用中、小規(guī)模器件實現(xiàn)系統(tǒng)的數(shù)控局部,使用的芯片很多,造成控制電路部接口信號繁瑣,中間相互關(guān)聯(lián)多,抗干擾能力差。在方案一中采用了89S52單片機完成整個數(shù)控局部的功能,同時,89S52作為一個智

20、能化的可編程器件,便于系統(tǒng)功能的擴展。輸出局部的比擬方案二、三中采用線性調(diào)壓電源,以改變其基準電壓的方式使輸出步進增加或減少,這不能不考慮整流濾波后的紋波對輸出的影響,而方案一中使用運算放大器放大電壓,由于運算放大器具有很大的電源電壓抑制化,可以大大減少輸出端的紋波電壓。2.2.3 顯示局部的比擬方案二、三中的顯示輸出是對電壓的量化值直接進展譯碼顯示輸出,顯示值為D/A變化輸入量,由于D/A變換與功率驅(qū)動電路引入的誤差,顯示值與電源實際輸出值之間可能出現(xiàn)較大偏差,而方案一中采用三位一體的數(shù)碼管直接對電壓值進展顯示。總之,方案一的優(yōu)點是具有精度高,使用方便,硬件電路簡單等特點,它使用了單片機,使

21、得進一步擴展功能較為方便;方案二、三的優(yōu)點是電路構(gòu)造簡單,其缺點是使用比擬復(fù)雜,精度沒有則高。考慮到各種因素,本設(shè)計采用方案一。第3章 數(shù)控電壓源的工作原理3.1 整機電路框圖數(shù)控電壓源的電路框圖如圖3.1所示:圖3.1 數(shù)控電壓源電路框圖3.2 工作原理本設(shè)計介紹了以89S52單片機為控制單元,以數(shù)模轉(zhuǎn)換器DAC0832輸出參考電壓,以該參考電壓控制電壓轉(zhuǎn)換模塊LM350的輸出電壓大小的數(shù)控電壓源。通過改變送給單片機的數(shù)字量而到達改變輸出電壓的方法。通過三端穩(wěn)壓器LM350到達輸出電壓的穩(wěn)定。3.2.1 DA轉(zhuǎn)換電路工作原理本設(shè)計是采用DAC0832實現(xiàn)數(shù)據(jù)的數(shù)模轉(zhuǎn)換,其數(shù)據(jù)口與P0口直接相

22、連,DA的和連接后接P3.4,和接單片機的端,讓DA工作在單緩沖方式下。DA的8腳接參考電壓,為簡化設(shè)計,在本次設(shè)計中的參考電壓用5V電壓,所以在DAC的8腳輸出電壓的分辨率為5V/256=0.01950.02V,也就是說DA輸入數(shù)據(jù)端每增加1,電壓增加0.02V。再在DA的電壓輸出端接運放LM324,將DA的輸出電流轉(zhuǎn)換成電壓。改變P0口的數(shù)據(jù)便可改變0832的輸出電壓,如當(dāng)P000H時,DAC0832的輸出電壓就應(yīng)為0V。其電路圖如圖3.2所示。圖3.2 DA轉(zhuǎn)換電路3.2.2 電壓調(diào)整電路工作原理圖3.3 電壓輸出電路圖本設(shè)計的輸出電壓采用LM350三端調(diào)整穩(wěn)壓器進展調(diào)整,先將0832的

23、輸出電壓用UA741進展反相放大,由于從LM324輸出的電壓是負電壓,所以UA741接成負反應(yīng)放大電路,通過調(diào)節(jié)電位器可以調(diào)節(jié)運放的電壓放大倍數(shù)。UA741的輸出端通過電阻接到LM350的調(diào)整端,通過改變UA741的輸出電壓可以控制LM350的輸出電壓,也就是數(shù)控電壓源的最終輸出電壓值,其電壓輸出電路圖如圖3.3所示。由于LM350的輸出電壓Vout=1.25V(1+R0/R13),由電路圖知R13是個定值,而R0則是由R12和下面的電路來確定的,可知R0是個變量,所以LM350的輸出電壓與R0是成線性關(guān)系變化的。通過調(diào)節(jié)VR2,即可調(diào)節(jié)LM350的輸出電壓。3.2.3 數(shù)值計算(1)輸出電壓

24、最小值Vmin的計算由LM350的輸出電壓公式可知Vmin=1.25(1+27/220)=1.4V(2)單片機送給0832數(shù)值的計算 在設(shè)計時,要求單片機送給0832的數(shù)值為00H時,輸出端輸出的電壓為1.4V,及單片機送給0832的數(shù)值為0FFH時,輸出端輸出的電壓值為9.9V,所以每當(dāng)電壓增加0.1V時,單片機送給0832的數(shù)值就要增加3。所以在編程時,按一下步進按鍵,P0口的數(shù)據(jù)便要變化3。當(dāng)電壓要增加1V時,按一下按鍵,P0口的數(shù)據(jù)便要變化30。所以可以通過調(diào)節(jié)電位器來改變運放的放大倍數(shù),使單片機送給0832的數(shù)值增加3時,輸出電壓就要增加0.1V。第4章 單元電路工作原理4.1 時鐘

25、電路時鐘電路用于產(chǎn)生單片機工作所需要的時鐘信號,而時序所研究的是指令執(zhí)行中各信號之間的相互關(guān)系。單片機本身就如一個復(fù)雜的同步時序電路,為了保證同步工作方式的實現(xiàn),電路應(yīng)在唯一的時鐘信號控制下嚴格地按時序進展工作。4.1.1 時鐘振蕩電路圖時鐘振蕩電路圖如圖4.1所示:4圖4.1 時鐘振蕩電路圖4.1.2 時鐘信號的產(chǎn)生 單片機部有一個高增益、反相放大器,其輸入端為芯片引腳*TAL1,其輸出端為引腳*TAL2。而在芯片的外部,*TAL1和*TAL2之間跨接晶體管振蕩器和微調(diào)電容,從而構(gòu)成一個穩(wěn)定的自激振蕩器。只要在單片機的*TAL1和*TAL2引腳外接晶體振蕩器就構(gòu)成了自激振蕩器并在單片機部產(chǎn)生

26、時鐘脈沖信號。電容器C8和C9的作用是穩(wěn)定頻率和快速起振,電容值在530pF,典型值為30pF。外部時鐘方式是把外部已有的時鐘信號引入到單片機。此方式常用于多片單片機同時工作,以便于各單片機的同步。一般要求外部信號高電平的持續(xù)時間大于20s,且為頻率低于12MHz的方波。4.2 復(fù)位電路復(fù)位操作有兩種根本形式:一種是上電復(fù)位,另一種是按鍵復(fù)位。按鍵復(fù)位電路圖如圖4.2所示。按 鍵復(fù)位具有上電復(fù)位功能外,假設(shè)要復(fù)位,只要按圖4.2中的 圖4.2 復(fù)位電路RESET鍵,電源VCC經(jīng)電阻R1、R2分壓,在RESET端產(chǎn)生一個復(fù)位高電平。上電復(fù)位電路要求接通電源后,通過外部電容充電來實現(xiàn)單片機自動復(fù)位

27、操作。上電瞬間RESET引腳獲得高電平,隨著電容的充電,RERST引腳的高電平將逐漸下降。RERST引腳的高電平只要能保持足夠的時間2個機器周期,單片機就可以進展復(fù)位操作。單片機復(fù)位期間不產(chǎn)生ALE和信號,即ALE=1和=1。這說明單片機復(fù)位期間不會有任何取指操作。復(fù)位后:PC值為0000H,說明復(fù)位后程序從0000H開場執(zhí)行;SP值為07H值,說明堆棧底部在07H,需重新設(shè)置SP值;單片機在復(fù)位后,已使P0P3口每一端線為1,為這些端線用作輸入口做好了準備。4.3 鍵盤接口電路 4.3.1 鍵盤電路鍵盤接口通常包括硬件和軟件兩局部。硬件是指鍵盤的構(gòu)造及其主機的連接方式;軟件是指對鍵盤操作的識

28、別與分析,即鍵盤管理程序。鍵盤一般是一組開關(guān)(按鍵)的集合。常用的按鍵有三種:機械觸點式:利用金屬的彈性使按鍵復(fù)位。導(dǎo)電像膠式:利用利用橡膠接彈性使按鍵復(fù)位。柔性按鍵:外形及面板布局等可按整機要求設(shè)計,在價格、壽命、防潮、防銹等方面顯示出較強的優(yōu)越性。鍵盤按其工作原理又可分為編碼式鍵盤和非編碼式鍵盤。這兩類鍵盤的主要區(qū)別是識別鍵符及給出相應(yīng)鍵碼的方法。編碼鍵盤主要是用硬件來實現(xiàn)對鍵的識別;非編碼鍵盤主要是由軟件來實現(xiàn)鍵盤的定義與識別。非編碼式鍵盤接照與主機連接方式的不同,可分獨立式鍵盤和矩陣式鍵盤。(1)獨立式鍵盤:獨立式鍵盤中,每個按鍵占用一根I/O口線,每個按鍵電路相對獨立。I/O口通過按

29、鍵與地相連,I/O口有上拉電阻,無鍵按下時,引腳端為高電平,有鍵按下時,引腳電平被拉低。I/O口部有上拉電阻時,外部可不接上拉電阻。(2)矩陣式鍵盤:行列式鍵盤采用行列電路構(gòu)造,當(dāng)按鍵較多時所占用的口線相對較少,鍵盤規(guī)模越大,其優(yōu)點越明顯。所以,當(dāng)按鍵數(shù)目大于8時,一般采用矩陣式鍵盤構(gòu)造。鍵盤電路工作原理1鍵盤電路原理圖如圖4.3所示:圖4.3 鍵盤電路原理圖2鍵盤電路工作原理如圖4.3所示,當(dāng)無鍵按下時,單片機的P1.0P1.3及P3.3為高電平。當(dāng)有鍵按下時,單片機的相應(yīng)口線通過按鍵與地相連被拉成低電平,其它口線電平狀態(tài)不變。因此,通過檢測I/O口線的電平狀態(tài),即可判斷鍵盤上哪個鍵被按下。

30、 4.4顯示接口電路4.4.1 顯示電路原理常所說的LED顯示器由七個發(fā)光二極管組成,因此也稱之為七段LED顯示器,此外,顯示器中還有一個圓點型發(fā)光二極管在圖中以dp表示,用于顯示小數(shù)點。通過七段發(fā)光二極管的不同組合,可以顯示多種數(shù)字、字母或者其他符號。LED顯示器中的發(fā)光二極管共有兩種連接方法。共陽極接法把發(fā)光二極管的陽極連在一起構(gòu)成公共陽極。使用時公共陽極接+5V。這樣陰極端輸入低電平的段發(fā)光二極管就導(dǎo)通點亮,而輸入高電平的則不點亮。 圖4.4 七段LED顯示共陰極接法把發(fā)光二極管的陰極連在一起構(gòu)成公共陰極。使用時公共陰極接地,這樣陽極端輸入高電平的段發(fā)光二極管就導(dǎo)通點亮,而輸入低電平的則

31、不點亮。本設(shè)計采用共陰極接法,如圖4.4所示。七段發(fā)光二極管,再加上一個小數(shù)點,共計8段。因此提供應(yīng)LED顯示器的字型代碼正好一個字節(jié)。采用LED顯示器。LED顯示器由七個發(fā)光二極管組成,本設(shè)計采用共陰級接法。顯示方式采用動態(tài)顯示方式。原因在于:靜態(tài)顯示方式要求口線多,占用資源多,本錢就高,而動態(tài)顯示方式,電路簡單、節(jié)省口線、本錢低。LED顯示方式靜態(tài)顯示所謂靜態(tài)顯示,是指顯示器顯示*一字符時,相應(yīng)段的發(fā)光二極管恒定地導(dǎo)通或截止。這種顯示方法每一位都需要有一個8位輸出控口控制。靜態(tài)顯示時,較小的驅(qū)動電流就可以得到較高的顯示亮度,所以可由接口芯片直接驅(qū)動。并行輸出顯示位數(shù)越多需要I/O口越多。

32、圖4.5 三位一體LED外觀引腳圖動態(tài)顯示本次設(shè)計用到的是六位動態(tài)顯示,動態(tài)顯示是一位一位地輪流點亮各位數(shù)碼管,如圖4.5中所示,各位數(shù)碼管的段控線相應(yīng)并聯(lián)在一起,由一個8位的I/O口控制,但是8路驅(qū)動采用74LS244總線驅(qū)動器作為數(shù)碼管的驅(qū)動器,各位的位控線(即公共陰極或陽極)由另外的I/O口線控制,同時也必須接有74LS244作為驅(qū)動器,在74LS244輸出端必須接有500限流電阻接到電源,這種電路的特點是節(jié)省I/O口線,硬件電路相對靜態(tài)顯示方式簡單,但是也有其缺點如:顯示高度不如靜態(tài)顯示方式,而且在顯示位數(shù)較多時,CPU要依次掃描,占用CPU較多的時間。在本次設(shè)計中,我們采用的是共陰極

33、的三位一體的LED,其外觀引腳如圖4.5所示,A、B、C分別為三個數(shù)碼顯示的位控引腳,其顯示原理與單個LED的顯示原理完全一樣,在此不再贅述。顯示電路原理圖顯示電路原理圖如圖4.6所示:圖4.6顯示電路原理圖4.5 D/A轉(zhuǎn)換電路D/A轉(zhuǎn)換電路主要由AT89S52單片機、數(shù)碼轉(zhuǎn)換器DAC0832及LM324運算放大器等芯片組成。AT89S52的P0口作為數(shù)據(jù)端口與DAC0832的8位數(shù)據(jù)線相連。本系統(tǒng)中,因為CPU的工作任務(wù)是單一的,而且數(shù)據(jù)傳送的目的地址也是單一的,因此,DAC0832采用單緩沖的工作方式,該芯片的(低電平有效)、四個使能端均與地相接處于有效狀態(tài),這個工作方式不需要給DAC0

34、832分配地址空間,CPU的P1口的數(shù)據(jù)變化直接反映到DAC0832的輸出端。4.6 電源電路在本次設(shè)計中,由于要給運放LM324和UA741供電,所以要自制電源。在此次設(shè)計中,我設(shè)計了一個可以輸出正負12伏的電源。主要以7800系列(輸出正電壓)和7900系列輸出負電壓做成電源電路。線性電源由15V變壓器經(jīng)過全波整流,電容整流濾波,通過三端穩(wěn)壓管7812、7912穩(wěn)壓為芯片AT89S52、DAC0832、LM324、UA741、數(shù)碼管等提供電壓。4.6.1 穩(wěn)壓器78L12和79L12三端固定穩(wěn)壓器,三端只有3個引出端子,具有應(yīng)用時外接元件少,使用方便,性能穩(wěn)定,價格低廉的優(yōu)點,被廣泛應(yīng)用。

35、通常有78L12正電源系列和79L125負電源系列,其構(gòu)造外觀如右圖4.7所示:它由輸出腳OUT,輸入腳和接地腳GND組成,它的書室穩(wěn)壓輸出值為正負12V,由它的部構(gòu)造可知,除增加了一級啟動電路外,其余局部 圖4.7 7812引腳圖與串聯(lián)穩(wěn)壓電路完全一樣,其基準電壓源的穩(wěn)定性更高,采取的電容必須是漏電流較小的坦電容,或者是電解電容須是鉭電容的10倍,保護電路更完善。穩(wěn)壓器輸入端的電容用來進一步消除紋波,此外,輸出端的電容起到了頻率補償?shù)淖饔?,能防止自激振蕩,從而使電路穩(wěn)定工作。4.6.2 電源電路原理圖電源電路原理圖如圖4.8所示:圖4.8 電源電路原理圖4.7 所用主要芯片單片機AT89S5

36、21AT89S52單片機芯片引腳圖AT89S52芯片引腳圖如圖4.9所示:2AT89S52單片機芯片的特點AT89S52具有如下特點:40個引腳,8kBytesFlash片程序存儲器,256bytes的隨機存取數(shù)據(jù)存儲器RAM,32個外部雙向輸入/輸出I/O口,5個中斷優(yōu)先級2層中斷嵌套中斷,2個16位可編程定時計數(shù)器,2個全雙工串行通信口,看門狗WDT電路,片時鐘振蕩器。此外,AT89S52設(shè)計和配置了振蕩頻率可為0Hz并圖4.9 芯片AT89S52引腳圖可通過軟件設(shè)置省電模式??臻e模式下,CPU暫停工作,而RAM定時計數(shù)器,串行口,外中斷系統(tǒng)可繼續(xù)工作,掉電模式凍結(jié)振蕩器而保存RAM的數(shù)據(jù)

37、,停頓芯片其它功能直至外中斷激活或硬件復(fù)位。同時該芯片還具有PDIP、TQFP和PLCC等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。(3) 信號引腳的第二功能:由于工藝及標(biāo)準化等原因,芯片的引腳數(shù)目是有限制的,例如MCS51系列把芯片引腳數(shù)目限定為40條,但單片機為實現(xiàn)其功能所需要的信號數(shù)目卻遠遠超過此數(shù),因此就出現(xiàn)了供需矛盾。復(fù)用(即給一些信號引腳賦以雙重功能)是解決此問題的唯一可行的方法。89S52單片機的引腳的第二功能如表4.1所示:表4.1:P1口和P3的第二功能口線第二功能信號名稱P3.0R*DR*D串行數(shù)據(jù)接收P3.1T*DT*D串行數(shù)據(jù)發(fā)送P3.2外部中斷0申請P3.3外部中斷1申請P

38、3.4T0T0定時器0的計數(shù)輸入P3.5T1T1定時器1的計數(shù)輸入P3.6外部數(shù)據(jù)存儲器寫選通P3.7外部數(shù)據(jù)存儲器讀選通P1.0T2T2定時器/計數(shù)器T2的外部計數(shù)輸入,時鐘輸出P1.1T2E*T2E*定時器/計數(shù)器T2的捕捉/重載觸發(fā)信號和方向控制P1.5MOSIMOSI在系統(tǒng)編程用P1.6MISOMISO在系統(tǒng)編程用P1.7SCKSCK在系統(tǒng)編程用芯片DAC08321DAC0832芯片引腳圖DAC0832芯片引腳圖如圖4.10所示:2DAC0832芯片的特點DAC0832是一種典型的8位轉(zhuǎn)換器,部為雙緩沖存放器即輸入存放器和DAC存放器,、 圖4.10 芯片0832引腳圖、分別為該兩存放

39、器的寫信號輸出端,ILE為輸入鎖存使能端,高電平有效,為片選端,為傳輸控制端,它和共同控制DAC存放器的工作狀態(tài),其部構(gòu)造如圖4.11所示。DAC0832有兩個接地端AGND模擬電路接地端和DGND數(shù)字信號接地端,一般情況下,這兩個地端均并聯(lián)接地。DAC0832的D/A轉(zhuǎn)換電路為倒T型R-2R電阻網(wǎng)絡(luò),故有Iout1和Iout2兩個電流輸出端,根據(jù)不同的電路組成,該芯片可以有兩種輸出模式,一種為電流輸出模式,這種模式基準電壓加在VREF端,由Iout1,Iout2輸出的電流經(jīng)運算放大器相加后輸出;另一種為電壓輸出模式,這種模式基準電壓加在Iout1和Iout2之間,模擬電壓加從VREF端輸出。

40、為了設(shè)計的方便,本電路選用電壓輸出模式,Iout1和Iout2之間接一參考電壓,VREF輸出可控制電壓信號。它有三種工作方式:不帶緩沖工作方式,單緩沖工作方式,雙緩沖工作方式。該電路采用單緩沖模式,在忡圖中=0,DAC存放處于直通狀態(tài)。又由于ILE=1,故只要在選中該片=0的地址時,寫入=0數(shù)字量,則該數(shù)字信號立即傳送到輸入存放器,并直通至DAC存放器,經(jīng)過短暫的建立時間,即可以獲得相應(yīng)的模擬電壓,一旦寫入操作完畢,和立即變?yōu)楦唠娖?,則寫入的數(shù)據(jù)被輸入存放器鎖存,直到再次寫入刷新。 圖4.11 0832部構(gòu)造圖4.7.3 LM3501芯片介紹LM350是可調(diào)節(jié)3端正電壓穩(wěn)壓器,在輸出圍為 1.

41、2伏到33伏時能夠提供超過3安的電流。此穩(wěn)壓器非常易于使用,只需要兩個外部電阻來設(shè)置輸出電壓。此外還使用部限流、熱判斷和平安工作區(qū)補償使之根本能防止燒斷保險絲。其外形及引腳圖如圖4.12所示: 圖4.12 LM350外形及引腳圖LM350效勞于多種應(yīng)用場合,包括局部穩(wěn)壓、卡上穩(wěn)壓。該器件還可以用來制做一種可編程的輸出穩(wěn)壓器,或者,通過在調(diào)整點和輸出之間接一個電阻,LM350可用作一個精細穩(wěn)流器。其部構(gòu)造圖如圖4.13所示:圖4.13 LM350部構(gòu)造圖其主要特點如下: 輸出電流超過3安 輸出電壓在1.2伏和33伏之間可調(diào)節(jié) 部熱過載保護 不隨溫度變化的部短路電流限制 輸出晶體管平安工作區(qū)補償

42、對高壓應(yīng)用孚空工作 標(biāo)準3引腳晶體管封裝 防止置備多種電壓2其根本電路工作原理LM350 是三端浮動穩(wěn)壓器。其根本電路工作原理如圖4.14所示。工作時,LM350建立并保持輸出與調(diào)節(jié)端之間1.25V的標(biāo)稱參考電壓,這一參考電壓由R1轉(zhuǎn)換成編程電流,該恒定電流經(jīng)R2到地。其穩(wěn)壓輸出電壓由式4.1給出:圖4.15 LM350根本電路工作原理圖圖4.14 根本電路工作原理圖4.1因為調(diào)節(jié)端的電流在式中代表誤差項,所以LM350設(shè)計成控制IAdj小于100微安并使這之保持恒定。為到達這一點,所有靜態(tài)工作電流都返回到輸出端。這樣就需要最小負載電流表。如果負載電流小于最小值,輸出電壓會上升。因為LM350

43、是浮動穩(wěn)壓器,所以只有電路兩端電壓差對性能是重要的,工作對地呈高電壓也就成為可能。3負載調(diào)整率LM350能提供極良好的負載調(diào)整率,但為實現(xiàn)最優(yōu)性能需要注意幾點。編程電阻R1應(yīng)盡可能連接在與穩(wěn)壓器靠近處,以使與參考電壓有效串聯(lián)線路壓降最小,防止調(diào)整率變差。R2接地端可以回到靠近負載接地端處,以提供遠程接地取樣并改良提高負載調(diào)整率。4.7.4 集成運放UA741UA741是一款集成運算放大器。集成運算放大器是一種高增益多級直接耦合放大器,其部構(gòu)造框圖如圖4.15所示,其各局部的作用如下:圖4.15 運放組成框圖1差動輸入級 使運放有盡可能高的輸入阻抗及共模抑制比。2中間放大級 由多級直接耦合放大器

44、組成,以獲得足夠高的電壓增益。3輸出級 可使運放具有一定幅度的輸出電壓、輸出電流和盡可能小的輸出電阻。在輸出過載時有自動保護作用以免損壞集成塊。輸出級一般為互補對稱推挽電路。4偏置電路 為各級提供適宜的靜態(tài)工作點。為使工作點穩(wěn)定,一般采用恒流源偏置電路。 在本設(shè)計中用到的UA741共有兩個根本作用:放大電壓和反相作用。其引腳圖如圖4.16所示。圖4.16 UA741引腳圖第5章 數(shù)控電壓源的軟件系統(tǒng)5.1 主程序主程序流程框圖如圖5.1所示:主程序的源程序見附錄2。圖 5.1 主程序流程圖5.2 子程序外中斷1子程序外中斷1子程序流程框圖如圖5.2所示:外中斷1子程序的源程序見附錄3。圖5.2

45、 外中斷1子程序流程圖顯示子程序顯示子程序流程框圖如圖5.3所示:顯示子程序的源程序見附錄4。圖5.3 顯示子程序流程圖鍵掃子程序鍵掃子程序流程框圖如圖5.4所示:鍵掃子程序的源程序見附錄4。圖5.4 鍵掃子程序流程圖第6章 電路的調(diào)試6.1 硬件的調(diào)試6.1.1 硬件的調(diào)試過程電路調(diào)試過程中遇到的問題和解決方法:(1).電路線路比擬多,容易出現(xiàn)短路現(xiàn)象,數(shù)碼顯示由于短路出現(xiàn)顯示不正常顯示,整理線路后能夠正常顯示。(2).制作和測試12V電源時,由于沒有認真參考整流管的接法和7912的芯片資料,出現(xiàn)一次整流電容爆裂。(3).數(shù)碼顯示出現(xiàn)問題,檢查電路發(fā)現(xiàn)P2口沒有加上拉電阻,數(shù)碼顯示的亮度不夠

46、,加上上拉電阻能正常顯示。(4).穩(wěn)壓管7812的輸出端輸出電壓,檢查電路,發(fā)現(xiàn)輸出端需要增加一個電容,增加后問題得到解決。(5).由于數(shù)碼管顯示的電壓不是從LM350輸出的實際電壓值,所以顯示的電壓與實際的電壓值有一點的差距,為了減少誤差,且從前面的電壓調(diào)整電路可知輸出電壓與電阻是成線性關(guān)系的,所以首先必須調(diào)節(jié)輸出電壓的線性關(guān)系。線性關(guān)系主要是這樣調(diào)節(jié)的: 首先輸入1.5V的電壓,調(diào)節(jié)電位器,使輸出也為1.5V的電壓; 通過鍵盤設(shè)置,使輸入為3.5V的電壓,反復(fù)調(diào)節(jié)電位器,使輸出為3.50.1V的電壓; 通過鍵盤設(shè)置,使輸入為5.5V的電壓,反復(fù)調(diào)節(jié)電位器,使輸出為5.50.1V的電壓; 通

47、過鍵盤設(shè)置,使輸入為7.5V的電壓,反復(fù)調(diào)節(jié)電位器,使輸出為7.50.1V的電壓; 通過鍵盤設(shè)置,使輸入為9.5V的電壓,反復(fù)調(diào)節(jié)電位器,使輸出為9.50.1V的電壓。通過調(diào)節(jié)輸入輸出電壓的線性關(guān)系,確定電位器的阻值。調(diào)好了線性關(guān)系后,電位器使固定下來了,在以后的操作中不能改變電位器的阻值。隨著電位器阻值確實定,運算放大器的放大倍數(shù)也就確定下來了。6.1.2 電路數(shù)據(jù)的測試(1).電壓輸出圍的測試主要測試儀器:數(shù)字萬用表 設(shè)計要求的圍,通過程序電壓極值,先設(shè)最低值,再設(shè)最高值,用數(shù)字萬用表測量相應(yīng)的輸出電壓,重復(fù)測試三次,具體測試數(shù)據(jù)如表6.1:表6.1 電壓輸出圍測試數(shù)據(jù)次數(shù)第一次第二次第三

48、次極值Vmin(1.4V)Vma*(9.9V)VminVma*VminVma*設(shè)定值1.49.91.49.91.49.9實測值1.409.891.4059.9051.3979.89試驗誤差00.010.0050.0050.0020.01誤差分析從上表的數(shù)據(jù)看出,實際輸出的電壓最低值達不到要求的0V,主要原因是在設(shè)計電路原理的時候考慮到條件缺乏,0832的基準電壓只能是+5V,如果能使0832的基準電壓能夠是-5V,則可以到達要求。最低值誤差0.010.0050.003/3=0.006V=6mV最高值誤差0.010.0050/3=0.005V=5mV總體分析 :由以上數(shù)據(jù)分析可知,在兩端點處,系

49、統(tǒng)最大誤差為6mV,完全到達題目設(shè)計要求。(2).步進控制測試:主要測試儀器:數(shù)字萬用表 在規(guī)定的圍,先設(shè)定一個初始值假設(shè)不設(shè)定,則系統(tǒng)默認為1.4V。然后通過功能鍵在初始值的根底上進展先步進控制,然后再步減。測試五組數(shù)據(jù)如表6.2:表6.2 步進控制測試數(shù)據(jù)次數(shù)第一次第二次第三次第四次第五次初始值1.5V3.0V5.0V7.5V9.5V步進0.1V1.493.095.17.619.61步減0.1V1.402.994.97.419.41步進誤差0.010.0100.010.01步減誤差00.0100.010.01誤差分析分析上表,在中間段誤差較小,兩端誤差變大,這一方面與電源局部影響有關(guān),另外

50、受運放比擬精度及0832的基準電壓和0832的量化誤差的影響??赏ㄟ^調(diào)節(jié)電位器對數(shù)模輸出補償來減小誤差??傮w來說根本上到達設(shè)計要求。6.2 軟件調(diào)試(1).主程序的調(diào)試在調(diào)試主程序時,由于沒有調(diào)啟動0832的程序,DA轉(zhuǎn)換不能正常進展,調(diào)用后能正常進展DA轉(zhuǎn)換。(2).顯示子程序的調(diào)試在調(diào)試顯示子程序時,由于調(diào)用的延時時間不夠,數(shù)字在數(shù)碼管上顯示不穩(wěn)定,出現(xiàn)閃爍現(xiàn)象,且閃爍頻率不一致,通過屢次調(diào)試,改變延時時間,最終數(shù)字能穩(wěn)穩(wěn)地顯示在數(shù)碼管上。(3).中斷子程序的調(diào)試 在調(diào)試中斷子程序時,開場我采用的是電平觸發(fā)方式,但達不到要求,按下調(diào)整按鍵沒有松開,中斷程序一直在執(zhí)行,也就是說中斷程序不只執(zhí)

51、行一次,改為脈沖觸發(fā)方式后,能到達理想的效果。第7章 數(shù)控電壓源的使用說明此數(shù)控電壓源輸出電壓值在1.4V9.9V之間。A鍵用于電源步進加或調(diào)整加0.1V;B鍵用于電源步進減或調(diào)整減0.1V,每按一次分別加或減0.1V;C、D鍵用于調(diào)整電壓的整數(shù)位,C鍵用于調(diào)整電壓加1V,D鍵用于調(diào)整電壓加1V,每按一次分別加或減1V。E鍵用于設(shè)置電源的設(shè)置狀態(tài)和輸出狀態(tài)。使用該多功能數(shù)控電壓源操作簡單。當(dāng)上電時,電源會輸出設(shè)置的1.4V電源,按E鍵就進入設(shè)置狀態(tài),可以設(shè)置電壓,假設(shè)在此時再按一次按下A鍵,電壓加0.1V,按B鍵電壓減0.1V,按C鍵電壓加1V,按D鍵電壓減1V,假設(shè)設(shè)置完成,可按E鍵跳出電壓

52、設(shè)置狀態(tài),即可輸出設(shè)置的電壓值。在輸出狀態(tài)也可按A、B鍵,使電壓步進0.1V。結(jié) 論本次設(shè)計過程中,對紋波也沒有提出很嚴格要求,所以常用的穩(wěn)壓集成電路就可以滿足要求。在電路中采用了模擬器件和數(shù)字器件所以需要+5V、和12V電源供電。本設(shè)計輸出的電壓穩(wěn)壓精度高,可以用在對直流電壓要求較高的設(shè)備上,或在科研實驗室中當(dāng)作實驗電源使用。在本次設(shè)計的過程中,我發(fā)現(xiàn)很多的問題,給我的感覺就是很難,很不順手,看似很簡單的電路,要動手把它給設(shè)計出來,是很難的一件事,主要原因是我們沒有經(jīng)常動手設(shè)計過電路以及在設(shè)計過程中用到的知識我學(xué)得不是很扎實,還有資料的查找也是一大難題,這就要求我們在以后的學(xué)習(xí)和工作中,應(yīng)該注意到這一點,更重要的是我們要學(xué)會把從書本中學(xué)到的知識和實際的電路聯(lián)系起來,這不管是對我們以后的就業(yè)還是學(xué)習(xí),都會起到很大的促進和幫助。不過本次設(shè)計中仍有缺乏之處,其中主要的缺乏之處沒有到達0V的設(shè)計要求。其主要原因是設(shè)計條件不夠,使得0832的基準電壓只能為+5V,所以其只能輸出負電壓。而且LM350的輸出端比輸入端高也至少1.25V的電壓,所以在本次設(shè)計中不能到達0V的電壓,但如果在0832的其準電壓上再加上一個-5V的基準電壓便可到達輸出0V的要求。同時,通過本次畢業(yè)設(shè)計,穩(wěn)固了我們學(xué)習(xí)過的專業(yè)知識,也使我們把理論與實踐從真正意義上相結(jié)合了起來;考驗了我們借助互

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論