函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)(共23頁)_第1頁
函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)(共23頁)_第2頁
函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)(共23頁)_第3頁
函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)(共23頁)_第4頁
函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)(共23頁)_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、河北大學(xué)2015屆本科生畢業(yè)論文(設(shè)計(jì))裝訂線 本科生畢業(yè)論文(b y ln wn)(設(shè)計(jì)) 題目(tm): 函數(shù)(hnsh)發(fā)生器控制系統(tǒng)設(shè)計(jì) 學(xué) 院 電子信息工程學(xué)院 學(xué)科門類 工學(xué) 專 業(yè) 電子科學(xué)與技術(shù) 學(xué) 號(hào) 姓 名 指導(dǎo)教師 2015年5月18日裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線裝訂線函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)摘 要 DDS和DSP( HYPERLINK /view/162096.htm t /_blank 數(shù)字(shz)信號(hào)處理)一樣,是一項(xiàng)重要的數(shù)字化技術(shù)。直接數(shù)字式頻率合成器(Direct Digital Synthesizer)的縮寫是DDS。D

2、DS技術(shù)是一種把一系列數(shù)字量形式的信號(hào)通過DAC轉(zhuǎn)換成模擬量形式的信號(hào)的合成技術(shù),它是將輸出波形的一個(gè)完整的周期、幅度值都順序地存放(cnfng)在波形存儲(chǔ)器中,通過控制相位增量產(chǎn)生頻率、相位可控制的波形。與傳統(tǒng)的頻率合成器比較,DDS成本低、功耗低、分辨率高,時(shí)間轉(zhuǎn)換快,并且廣泛地應(yīng)用于電信與電子儀器等領(lǐng)域,是完成設(shè)備全數(shù)字化的一個(gè)(y )重要的技術(shù)?;谥苯訑?shù)字頻率合成原理(DDS),本文的任務(wù)是設(shè)計(jì)一個(gè)函數(shù)信號(hào)發(fā)生器控制系統(tǒng),綜合多方面考慮,本次設(shè)計(jì)選用了LM1095R圖形顯示模塊,CH452數(shù)碼管顯示驅(qū)動(dòng)和鍵盤掃描控制芯片和DDS芯片來設(shè)計(jì)它。此次設(shè)計(jì)中,通過不斷的調(diào)試,在Quartu

3、s II軟件中進(jìn)行波形仿真,成功地調(diào)試出了鍵盤按鍵以及顯示器顯示的正確結(jié)果,完成了函數(shù)發(fā)生器控制系統(tǒng)的設(shè)計(jì),達(dá)到了各項(xiàng)功能和指標(biāo)要求,有諸如功能強(qiáng),性能穩(wěn)定的優(yōu)點(diǎn),能夠廣泛的應(yīng)用于各類函數(shù)發(fā)生器。關(guān)鍵詞:函數(shù)信號(hào)發(fā)生器;DDS;LM1095R;CH452;控制系統(tǒng)The design of control system ABSTRACT DDS and DSP (digital signal processing) is an important digital technology. The abbreviation for direct Digital Synthesizer DDS (D

4、irect) is the. DDS technology is a kind of to a series of digital signal through the DAC is converted into analog signal synthesis technology, it is the output waveform of a complete cycle, amplitude values are sequentially stored in waveform memory, frequency is generated by controlling the phase i

5、ncrement and phase control of the waveform. With the traditional frequency synthesizer compared DDS has the advantages of low cost, low power, high resolution, fast switching time, and widely application in telecommunication and electronic equipment and other fields is complete equipment of digital

6、a important technology.Based on the direct digital frequency synthesis (DDS) principle, the task of this paper is to design a function signal generator control system and consider the various factors, this paper chooses the graphics LM1095R display module and CH452 digital tube display driver and ke

7、yboard scan control chip and DDS chip to design it. In the design, through debugging, in the Quartus II software for waveform simulation, successfully debugged the keys of the keyboard and display the correct result, complete the design of the function generator control system, can satisfy the requi

8、rements of various functions and indicators, such as strong function, the advantages of stable performance. It can be widely used in various types of function generator.Keywords: function signal generator; DDS; LM1085R; CH452; control system目 錄TOC o 1-3 h u HYPERLINK l _Toc3983 1 前言(qin yn) 1 前言(qin

9、 yn)1.1 研究信號(hào)發(fā)生器控制系統(tǒng)(kn zh x tn)的目的與現(xiàn)實(shí)意義信號(hào)發(fā)生器是信號(hào)源的一種,主要給被測(cè)電路提供所需要的己知信號(hào)(各種波形),然后用其它儀表測(cè)量感興趣的參數(shù)??梢娦盘?hào)源在各種實(shí)驗(yàn)應(yīng)用和試驗(yàn)測(cè)試處理(chl)中,它的應(yīng)用非常廣泛。它不是測(cè)量儀器,而是根據(jù)使用者的要求,作為激勵(lì)源,仿真各種測(cè)試信號(hào),提供給被測(cè)電路,以滿足測(cè)量或各種實(shí)際需要。信號(hào)發(fā)生器是一種經(jīng)常使用的設(shè)備,但由純粹物理器件構(gòu)成的傳統(tǒng)的設(shè)計(jì)方法存在許多弊端,如:體積較大、重量較沉、移動(dòng)不夠方便、信號(hào)失真較大、波形種類過于單一、波形形狀調(diào)節(jié)過于死板,無法滿足用戶對(duì)精度、便攜性、穩(wěn)定性等的要求。研究設(shè)計(jì)出一種具有

10、頻率穩(wěn)定、準(zhǔn)確、波形質(zhì)量好、輸出頻率范圍寬、便攜性好等特點(diǎn)的波形發(fā)生器具有較好的市場(chǎng)前景,以滿足軍事、民用、教學(xué)科研領(lǐng)域?qū)π盘?hào)源的要求。在現(xiàn)代社會(huì)中,自動(dòng)化技術(shù)已經(jīng)滲透到社會(huì)生活的各個(gè)領(lǐng)域中,其中,信號(hào)發(fā)生器是自動(dòng)化領(lǐng)域中的一個(gè)典型應(yīng)用。例如,在超聲波測(cè)量技術(shù)中,超聲換能器(發(fā)射換能器和接收換能器) 是超聲波檢測(cè)技術(shù)的核心部件。高精度、寬頻率范圍、高穩(wěn)定性的激勵(lì)源對(duì)發(fā)射換能器及超聲檢測(cè)系統(tǒng)性能的改善和提高起著至關(guān)重要的作用。傳統(tǒng)的波形發(fā)生器通常由晶體管、運(yùn)放IC 等分離元件制成。與此相比,基于集成芯片的波形發(fā)生器具有高頻信號(hào)輸出、波形穩(wěn)定、控制簡便等特點(diǎn)。因?yàn)楝F(xiàn)代的自動(dòng)化控制中基本都會(huì)利用信號(hào)

11、來控制設(shè)備的工作。利用信號(hào)的產(chǎn)生進(jìn)行儀器的控制已經(jīng)是自動(dòng)控制中的一個(gè)重要的手段,那么一個(gè)幅度,頻率,占空比以及波形可調(diào)的信號(hào)發(fā)生器的設(shè)計(jì)和完成更具有使用價(jià)值。而函數(shù)發(fā)生器控制系統(tǒng)作為其中關(guān)鍵的一環(huán),更不容忽視。本課題是完成函數(shù)發(fā)生器控制系統(tǒng),考察現(xiàn)有信號(hào)發(fā)生器控制系統(tǒng)的優(yōu)缺點(diǎn),設(shè)計(jì)一個(gè)實(shí)用的信號(hào)發(fā)生器控制系統(tǒng)。該系統(tǒng)為函數(shù)發(fā)生器的制作提供了良好的技術(shù)支持。裝訂線1.2 本課題的研究現(xiàn)狀目前我國己經(jīng)開始研制波形發(fā)生器,并取得了可喜的成果。但總的來說,我國波形發(fā)生器還沒有形成真正的產(chǎn)業(yè)。就目前國內(nèi)的成熟產(chǎn)品來看,多為一些PC儀器插卡,獨(dú)立的儀器和VXI系統(tǒng)的模塊很少,并且我國目前在波形發(fā)生器的種類

12、和性能都與國外同類產(chǎn)品存在較大的差距,因此加緊對(duì)這類產(chǎn)品的研制顯得迫在眉睫。函數(shù)波形發(fā)生器發(fā)展很快近幾年來,國際上波形發(fā)生器技術(shù)發(fā)展主要體現(xiàn)在以下幾個(gè)方面: (1)過去由于頻率很低應(yīng)用的范圍比較狹小,輸出波形頻率的提高,使得波形發(fā)生器能應(yīng)用于越來越廣的領(lǐng)域。波形發(fā)生器軟件的開發(fā)正使波形數(shù)據(jù)的輸入變得更加方便和容易。波形發(fā)生器通常允許用一系列的點(diǎn)、直線和固定的函數(shù)段把波形數(shù)據(jù)存入存儲(chǔ)器。同時(shí)可以利用一種強(qiáng)有力的數(shù)學(xué)方程輸入方式,復(fù)雜的波形可以由幾個(gè)比較簡單的公式復(fù)合成v=f(t)形式的波形方程的數(shù)學(xué)表達(dá)式產(chǎn)生。從而促進(jìn)了波形發(fā)生器向任意波形發(fā)生器的發(fā)展,各種計(jì)算機(jī)語言的飛速發(fā)展也對(duì)任意波形發(fā)生器

13、軟件技術(shù)起到了推動(dòng)作用。目前可以利用可視化編程語言(如Visual Basic, Visual C等等)編寫任意波形發(fā)生器的軟面板,這樣允許從計(jì)算機(jī)顯示屏上輸入任意波形,來實(shí)現(xiàn)波形的輸入。(2)與VXI資源結(jié)合。目前,波形發(fā)生器由獨(dú)立的臺(tái)式儀器(yq)和適用于個(gè)人計(jì)算機(jī)的插卡以及新近開發(fā)的VXI模塊。由于VXI總線的逐漸成熟和對(duì)測(cè)量儀器的高要求,在很多領(lǐng)域需要使用VXI系統(tǒng)測(cè)量產(chǎn)生復(fù)雜的波形,VXI的系統(tǒng)資源提供了明顯的優(yōu)越性,但由于開發(fā)VXI模塊的周期長,而且需要專門的VXI機(jī)箱的配套使用,使得波形發(fā)生器VXI模塊僅限于航空、軍事及國防等大型領(lǐng)域。在民用方面,VXI模塊遠(yuǎn)遠(yuǎn)不如臺(tái)式儀器更為方

14、便。(3)隨著信息技術(shù)蓬勃發(fā)展,臺(tái)式儀器在走了一段下坡路之后,又重新繁榮起來。不過現(xiàn)在新的臺(tái)式儀器的形態(tài),和幾年前的己有很大的不同。這些新一代臺(tái)式儀器具有多種特性,可以(ky)執(zhí)行多種功能。而且外形尺寸與價(jià)格,都比過去的類似產(chǎn)品減少了一半。1.3 主要研究(ynji)內(nèi)容本文論述了完成了鍵盤輸入、液晶顯示人機(jī)接口的設(shè)計(jì)與實(shí)現(xiàn),進(jìn)行輸出頻率、電壓的設(shè)定和檢測(cè),結(jié)果由液晶顯示器顯示的實(shí)現(xiàn)方法并完成設(shè)計(jì)以及仿真等工作,結(jié)果實(shí)驗(yàn)箱中下載測(cè)試的方法。實(shí)現(xiàn)了Altrea FPGA進(jìn)行NOIS II的配置,函數(shù)發(fā)生器控制與顯示功能,進(jìn)行輸出頻率、電壓選擇和顯示的功能對(duì)完成函數(shù)發(fā)生器控制與顯示功能,進(jìn)行輸出頻

15、率、電壓選擇和顯示提供了技術(shù)支持。本文闡述了基于NIOSII函數(shù)發(fā)生器控制系統(tǒng)設(shè)計(jì)的方法,為設(shè)計(jì)函數(shù)發(fā)生器奠定了基礎(chǔ)。2 總體設(shè)計(jì)方案(fng n)2.1 系統(tǒng)(xtng)設(shè)計(jì)原理 本文提出了使用作為信號(hào)發(fā)生核心元件(yunjin)的函數(shù)發(fā)生器的控制系統(tǒng),根據(jù)完成為鍵盤輸入的功能、為液晶顯示人機(jī)接口的功能的設(shè)計(jì)與實(shí)現(xiàn),進(jìn)行輸出頻率、電壓的設(shè)定和檢測(cè),結(jié)果由液晶顯示器來顯示等一些要求選用了DDS芯片,實(shí)現(xiàn)了信號(hào)頻率、類型以及輸出等選項(xiàng)的控制。 對(duì)于波形發(fā)生器的控制,主要由三個(gè)模塊,一是DDS波形發(fā)生模塊,二是對(duì)于波形發(fā)生器控制輸入數(shù)據(jù),此處采用實(shí)驗(yàn)開發(fā)平臺(tái)的4*4鍵盤做為輸入控制端,三是發(fā)生器狀

16、態(tài)信息顯示,此處采用LM1095R單色STN屏(192X128)顯示。裝訂線2.2 總體設(shè)計(jì)框圖 總體設(shè)計(jì)框圖如下圖2-1JTAG-UARTLM1095RCH452DDSNIOSII ICSDRAM 圖2-13 系統(tǒng)(xtng)模塊組成3.1 LM1095R圖形(txng)顯示模塊3.1.1 LM1095R器件(qjin)簡介LM1095R,它是一個(gè)192X128點(diǎn)陣中文/圖形液晶顯示模塊,里面含有RA8803控制器。模塊不但可以顯出單一的文本,而且能顯示圖形。文本模式中,可以完成大小字體的混編(最大字體為64X64),要完成連續(xù)輸入的功能時(shí),模塊可以自行調(diào)節(jié)行距的大小。把顯示畫面變得更好看,

17、很大程度上節(jié)約了用戶所需要的開發(fā)時(shí)間。他的主要特點(diǎn)有:(1)供電靠單電源,里面含有升壓電路;(2)白色LED背光;(3)非常高的對(duì)比度,FSTN型號(hào)LCD屏;(4)雙圖層的內(nèi)存(顯示存儲(chǔ)器為2X9.6K);(5)里面含有簡體中文字庫(漢字有7602);(6)可自定義16個(gè)字符。裝訂線3.1.2 程序設(shè)計(jì)在NiosII系統(tǒng)中可以通過兩種方式實(shí)現(xiàn)與液晶屏的接口通信:I/O控制方式和自定義組件方式,自定義組件方式可以達(dá)到較高的時(shí)鐘頻率,但對(duì)組件邏輯中狀態(tài)機(jī)時(shí)序設(shè)計(jì)要求比較嚴(yán)格,設(shè)計(jì)的復(fù)雜度較高,而I/O口控制方式適用于對(duì)時(shí)序要求不很高的系統(tǒng)20。在本設(shè)計(jì)中,系統(tǒng)對(duì)顯示模塊速度要求不高,所以選擇I/O

18、方式實(shí)現(xiàn)對(duì)液晶屏的接口通信,即調(diào)用Atlera公司提供的通用PIO口實(shí)現(xiàn)對(duì)液晶屏的通信。接口如圖3-1:圖3-1 NiosII與1095R通信接口接口(ji ku)說明如表3-1:表3-1 NiosII與LCD接口(ji ku)說明cs_n液晶屏片選信號(hào),單向輸向1095R,高電平有效,初始值0。Data7.0NiosII與1095R接口的數(shù)據(jù)總線,三態(tài),PIO屬性設(shè)置為雙向。Rs通道選擇信號(hào),1為控制命令寄存器讀寫,0為數(shù)據(jù)讀寫,單向輸向1095R。Rst復(fù)位信號(hào),低電平有效,單向輸向1095R。wr_n數(shù)據(jù)輸入使能信號(hào),高電平有效。 因?yàn)椴恍枰獜?095R讀取數(shù)據(jù),因此并未加入讀使能信號(hào),

19、對(duì)液晶屏的控制流程如圖4.5所示。從圖中可以看出,對(duì)LCD的控制主要分為寫命令(mng lng)函數(shù)和寫數(shù)據(jù)函數(shù)兩個(gè)部分。寫命令子函數(shù)用于對(duì)1095R寫入控制命令,主要包括光標(biāo)位置設(shè)定,顯示模式設(shè)定,層顯示等命令,寫數(shù)據(jù)子函數(shù)用于向1095R寫入要顯示數(shù)據(jù)。具體設(shè)計(jì)程序如下,流程圖如3-2開始液晶屏初始化寫入控制指令寫入顯示數(shù)據(jù)寫數(shù)據(jù)計(jì)數(shù)器是否為零結(jié)束否是裝訂線圖3-2寫命令(mng lng)函數(shù)voidSdCmd(ucharCommand)IOWR_ALTERA_AVALON_PIO_DIRECTION(LCD_DATA_BASE,0 xff);IOWR_ALTERA_AVALON_PIO_

20、DATA(LCD_DATA_BASE,Command);IOWR_ALTERA_AVALON_PIO_DIRECTION(LCD_DATA_BASE,0 x00);IOWR_ALTERA_AVALON_PIO_DATA(LCD_CS_N_BASE,0);IOWR_ALTERA_AVALON_PIO_DATA(LCD_RS_BASE,0);IOWR_ALTERA_AVALON_PIO_DATA(LCD_WR_N_BASE,0);IOWR_ALTERA_AVALON_PIO_DATA(LCD_WR_N_BASE,1);IOWR_ALTERA_AVALON_PIO_DATA(LCD_RS_BASE,

21、1);IOWR_ALTERA_AVALON_PIO_DATA(LCD_CS_N_BASE,1);裝訂線寫數(shù)據(jù)(shj)函數(shù)voidSdData(ucharDData)IOWR_ALTERA_AVALON_PIO_DIRECTION(LCD_DATA_BASE,0 xff);IOWR_ALTERA_AVALON_PIO_DATA(LCD_DATA_BASE,DData);IOWR_ALTERA_AVALON_PIO_DIRECTION(LCD_DATA_BASE,0 x00);IOWR_ALTERA_AVALON_PIO_DATA(LCD_CS_N_BASE,0);IOWR_ALTERA_AVA

22、LON_PIO_DATA(LCD_RS_BASE,1);IOWR_ALTERA_AVALON_PIO_DATA(LCD_WR_N_BASE,0);IOWR_ALTERA_AVALON_PIO_DATA(LCD_WR_N_BASE,1);IOWR_ALTERA_AVALON_PIO_DATA(LCD_RS_BASE,1);3.2 CH452控制(kngzh)芯片3.2.1 CH452器件簡介CH452是一款數(shù)碼管顯示驅(qū)動(dòng)和鍵盤掃描控制芯片(xn pin)。CH452內(nèi)置時(shí)鐘振蕩電路,可以驅(qū)動(dòng)8位數(shù)碼管或者64位LED,具有BCD譯碼、閃爍、移動(dòng)、段位尋址、光柱譯碼等功能,同時(shí)還可以進(jìn)行多達(dá)64位

23、鍵盤掃描,并且內(nèi)置硬件去抖動(dòng)電路和識(shí)別按鍵編碼的功能21。CH452與微處理器之間采用串行接口通信,同一芯片可選高速(o s)4線串行接口或者經(jīng)濟(jì)的2線串行接口。裝訂線裝訂線鍵盤用于控制信號(hào)的輸入,根據(jù)DDS原理,鍵盤需要實(shí)現(xiàn)波形切換(qi hun)、相位控制字、頻率控制字的輸入功能。本實(shí)驗(yàn)所用實(shí)驗(yàn)平臺(tái)上提供了44編碼矩陣鍵盤,并由鍵盤控制芯片CH452控制鍵盤。圖3-3為實(shí)驗(yàn)平臺(tái)的鍵盤部分原理圖,從圖中可以看出,使用了中斷信號(hào),地址線常置高電平選中,采用兩線制接口,所以需要按兩線制的連接方式設(shè)計(jì)程序。圖3-3 鍵盤連接部分電路圖CH452鍵盤掃描功能支持88 矩陣鍵盤。在鍵盤掃描時(shí),DIG7

24、-DIG0 引腳作為列掃描輸出,SEG7-SEG0 引腳帶有內(nèi)部下拉電阻,作為行掃描輸入。在鍵盤掃描J時(shí),DIG7-DIG0 引腳按從DIG0到DIG7 的順序依次輸出高電平,其余引腳輸出低電平;SEG7-SEG0引腳的輸出被禁止,沒有鍵被按下時(shí),SEG7-SEG0都下拉為低電平;當(dāng)有鍵按下時(shí),比如連接DIG3與SEG4的鍵按下,則當(dāng)DIG3輸出高電平時(shí)在SEG4 檢測(cè)到高電平; CH452實(shí)行兩次掃描去抖,只有當(dāng)兩次鍵盤掃描結(jié)果相同時(shí),按鍵才會(huì)確認(rèn)有效。如CH452檢測(cè)到有效按鍵,則記錄下該按鍵的代碼,并發(fā)出中斷信號(hào)。表3-2 CH452按鍵編碼值編址DIG7DIG6DIG5DIG4DIG3

25、DIG2DIG1DIG0SEG007H06H05H04H03H02H01H00HSEG10FH0EH0DH0CH0BH0AH09H08HSEG217H16H15H14H13H12H11H10HSEG31FH1EH1DH1CH1BH1AH19H18HSEG427H26H25H24H23H22H21H20HSEG52FH2EH2DH2CH2BH2AH29H28HSEG637H36H35H34H33H32H31H30HSEG73FH3EH3DH3CH3BH3AH39H38HCH452 提供的按鍵代碼為7 位,鍵值表如表3-2所示,位2-位0 是列掃描碼,位5-位3 是行掃描碼,位6 是狀態(tài)(zhun

26、gti)碼(鍵按下時(shí)為1,鍵釋放時(shí)為0)。下表是在DIG7-DIG0 與SEG7-SEG0之間的88 矩陣的順序編址。因?yàn)榘存I代碼是7位,所以(suy)鍵按下時(shí)位6總是1,所以按當(dāng)鍵按下時(shí),CH452提供的實(shí)際按鍵代碼是表5中的按鍵編址加40H。裝訂線3.2.2 程序設(shè)計(jì)(chn x sh j)CH452兩線制接口采用I2C總線通信方式傳送數(shù)據(jù),I2C總線是常用于連接微控制器和外圍設(shè)備的總線。IC(InterIntegrated Circuit)總線是由 HYPERLINK /view/30962.htm t /_blank PHILIPS公司開發(fā)的兩線式串行總線,用于連接 HYPERLINK

27、 /view/368297.htm t /_blank 微控制器及其外圍設(shè)備。是微電子通信控制領(lǐng)域廣泛采用的一種總線標(biāo)準(zhǔn)。它是同步通信的一種特殊形式,具有接口線少,控制方式簡單,器件封裝形式小,通信速率較高等優(yōu)點(diǎn)I2C 總線支持任何 HYPERLINK /view/16703.htm t /_blank IC生產(chǎn)過程(CMOS、雙極性)。通過串行數(shù)據(jù)(SDA)線和串行時(shí)鐘 (SCL)線在連接到總線的器件間傳遞信息。每個(gè)器件都有一個(gè)唯一的地址識(shí)別(無論是 HYPERLINK /view/368297.htm t /_blank 微控制器MCU、 HYPERLINK /view/18558.htm

28、 t /_blank LCD驅(qū)動(dòng)器、存儲(chǔ)器或鍵盤接口),而且都可以作為一個(gè)發(fā)送器或接收器(由器件的功能決定)。LCD驅(qū)動(dòng)器只能作為接收器,而存儲(chǔ)器則既可以接收又可以發(fā)送數(shù)據(jù)。除了 HYPERLINK /view/11757806.htm t /_blank 發(fā)送器和接收器外,器件在執(zhí)行數(shù)據(jù)傳輸時(shí)也可以被看作是主機(jī)或從機(jī)。主機(jī)是初始化總線的數(shù)據(jù)傳輸并產(chǎn)生允許傳輸?shù)臅r(shí)鐘信號(hào)的器件。此時(shí),任何被尋址的器件都被認(rèn)為是從機(jī)。IC總線有兩條數(shù)據(jù)線,一條是 Serial Data Line (SDA) ,是雙向數(shù)據(jù)線,主要用于設(shè)備間數(shù)據(jù)的傳輸,但傳輸受主設(shè)備控制,從設(shè)備不能發(fā)起數(shù)據(jù)傳輸。另一條是Serial

29、 Clock (SCL) ,用于提供串行時(shí)鐘,上升沿時(shí)向EEPROM器件輸入數(shù)據(jù),下降沿時(shí)從EEPROM器件讀取數(shù)據(jù) (邊沿觸發(fā))。具體(jt)通信協(xié)議如下:1、空閑(kngxin)狀態(tài) IC總線的兩條信號(hào)線SDA和SCL同時(shí)為高電平時(shí),為總線的空閑(kngxin)狀態(tài)。2.起始位與停止位的定義起始信號(hào):SCL為高電平期間,SDA由高電平跳變到低電平,是電平跳變時(shí)序信號(hào),非電平信號(hào)。停止信號(hào): SCL為高電平期間,SDA由低電平跳變到高電平,如圖3-4所示。圖3-4 IC總線起始與停止位信號(hào)定義3.ACK應(yīng)答位裝訂線 ACK應(yīng)答位指發(fā)送端每當(dāng)發(fā)送完一個(gè)字節(jié)(8位)后,要在下一個(gè)時(shí)鐘脈沖(相當(dāng)于

30、8位數(shù)據(jù)脈沖后的第9個(gè)脈沖)期間釋放數(shù)據(jù)線,由接收端反饋一個(gè)應(yīng)答信號(hào),如圖3-5所示。如果應(yīng)答信號(hào)為低電平,表示已經(jīng)成功地接收了數(shù)據(jù);如果應(yīng)答信號(hào)為高電平,則表示數(shù)據(jù)接收未成功。需要強(qiáng)調(diào)的是,接收端必須在第9個(gè)時(shí)鐘脈沖到之前的低電平期間將SDA線輸出為低電平,并在時(shí)鐘高電平到來后始終保持穩(wěn)定。圖3-5 IC總線數(shù)據(jù)傳輸時(shí)序圖4.數(shù)據(jù)的有效性IC總線傳送數(shù)據(jù)時(shí),SDA數(shù)據(jù)線上的數(shù)據(jù)只有在時(shí)鐘線上的信號(hào)為低電平時(shí)才能改變,時(shí)鐘信號(hào)為高電平時(shí),SDA數(shù)據(jù)線的數(shù)據(jù)必須保持穩(wěn)定,如圖3-6所示。圖3-6 IC總線數(shù)據(jù)傳輸時(shí)信號(hào)(xnho)要求示意圖從CH452的鍵盤值主要(zhyo)是向CH452發(fā)送讀

31、取命令后讀取數(shù)據(jù),時(shí)序圖如圖3-7所示: 圖3-7 CH452兩線制操作(cozu)時(shí)序圖 1.SDA 輸出高電平,SCL 輸出高電平,準(zhǔn)備啟動(dòng)信號(hào); 2.SDA 輸出低電平,產(chǎn)生啟動(dòng)信號(hào);3.SCL 輸出低電平,啟動(dòng)完成;裝訂線 4. 輸出一位數(shù)據(jù),即向 SDA 輸出最高位數(shù)據(jù) DA0(總是 0),并向 SCL 輸出高電平脈沖(從低 電平變?yōu)楦唠娖皆倩謴?fù)為低電平),其中包括一個(gè)上升沿及高電平使 CH452 輸入位數(shù)據(jù);5. 以同樣的方式,輸出位數(shù)據(jù) DA1(總是 1)、ADDR(地址選擇)、B11B8;6. 以同樣的方式,輸出位數(shù)據(jù) R/-W,高電平 1 代表讀操作,也就是要求 CH452

32、輸出位數(shù)據(jù);7.以同樣的方式,輸出位數(shù)據(jù) 1,也就是不輸出,以便 I2C 設(shè)備回送應(yīng)答位,注意,CH452 自 身不回送應(yīng)答位,而且當(dāng) CH452 發(fā)現(xiàn)有其它設(shè)備回送應(yīng)答那么 CH452 將放棄該命令;8. 在 SCL 為低電平期間,CH452 向 SDA 輸出位數(shù)據(jù) K7(總是 0),單片機(jī)向 SCL 輸出高電平脈 沖,并在 SCL 為高電平期間從 SDA 讀取位數(shù)據(jù);9. 以同樣的方式,CH452 輸出位數(shù)據(jù) K6K0,單片機(jī)輸入位數(shù)據(jù)作為按鍵代碼; 直接結(jié)束,不過,建議將 SCL 恢復(fù)為高電平,建議將 SDA 恢復(fù)為高電平。 上圖是單片機(jī)向 CH452 發(fā)送命令并接收按鍵代碼的波形示意圖

33、,命令數(shù)據(jù)是 0111xxxxxxxxB,接 收的按鍵代碼是 01100011B。對(duì)CH452的控制,可以通過在SOPC Builder中調(diào)用三個(gè)Atlera公司提供的通用PIO口實(shí)現(xiàn)對(duì)鍵值的讀取。其中,INT中斷位為單輸入信號(hào)接收口,SEG_KEY_SDA為雙向數(shù)據(jù)傳輸接口,SEG_KEY_SCL為作業(yè)控制時(shí)鐘輸出,讀取鍵值流程圖如圖3-8所示。開始是否有按鍵按下是發(fā)出起始信號(hào),啟動(dòng)IC總線向CH452輸出DA0、DA1、ADDR、 B11-B8及輸出位數(shù)據(jù)R/-W輸出確認(rèn)位讀取鍵值數(shù)據(jù)結(jié)束否 裝訂線圖3-8 讀取鍵值流程圖根據(jù)CH452的控制過程,程序分為啟動(dòng)信號(hào)子函數(shù)、寫控制字命令(mn

34、g lng)函數(shù)、讀數(shù)據(jù)子函數(shù)、ACK確認(rèn)位函數(shù)、及操作結(jié)束子函數(shù):1、啟動(dòng)(qdng)信號(hào)子函數(shù):void CH452_I2c_Start (void) IOWR_ALTERA_AVALON_PIO_DIRECTION(SEG_KEY_SDA_BASE, 1); IOWR_ALTERA_AVALON_PIO_DIRECTION(SEG_KEY_SCL_BASE, 1); IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SDA_BASE, 1);IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SCL_BASE, 1); /*SCL輸出(shch)

35、高電平,準(zhǔn)備啟動(dòng)信號(hào)*/ usleep(5); IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SDA_BASE, 0); / SDA輸出高電平 usleep(5); IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SCL_BASE, 0); /* SCL輸出低電平,啟動(dòng)(qdng)完成*/2、寫一個(gè)(y )字節(jié)控制字命令函數(shù)void CH452_I2c_WrByte(unsigned char dat) unsigned char temp_number;裝訂線 unsigned char i; int delay; IOWR_ALTERA_A

36、VALON_PIO_DIRECTION(SEG_KEY_SDA_BASE, 1); IOWR_ALTERA_AVALON_PIO_DIRECTION(SEG_KEY_SCL_BASE, 1); for(i=0;i7; IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SCL_BASE, 0); usleep(5); IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SDA_BASE, temp_number); for (delay=0;delay5;delay+); IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SCL_B

37、ASE, 1); dat=dat1; IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SCL_BASE, 0);2、讀一個(gè)(y )字節(jié)數(shù)據(jù)子函數(shù)unsigned char CH452_I2c_RdByte(void) unsigned char i=8; unsigned char DATA_received=0; int delay; IOWR_ALTERA_AVALON_PIO_DIRECTION(SEG_KEY_SDA_BASE, 0); /*向SDA輸出最高數(shù)據(jù)位DA0(總是0)*/ IOWR_ALTERA_AVALON_PIO_DIRECTION(SEG_KE

38、Y_SCL_BASE, 1); /*由低電平變?yōu)楦唠娖?*/ while (i-) DATA_received=1; IOWR_ALTERA_AVALON_PIO_DATA(SEG_KEY_SCL_BASE, 0); /*SCL輸出(shch)時(shí)鐘低電平*/ usleep(5);裝訂線 for(delay=0;delay7)&CH452_I2C_MASK|0 x01|CH452_I2C_ADDR1); /*寫入DA0、DA1、addr、及讀取命令(mng lng)*/ ack(); /*ACK確認(rèn)位*/ keycode=CH452_I2c_RdByte(); /*讀取數(shù)據(jù)*/ ack(); C

39、H452_I2c_Stop_2(); /*結(jié)束總線*/ return(keycode); /*返回鍵值keycode*/3.3 系統(tǒng)總體設(shè)計(jì)框圖根據(jù)系統(tǒng)設(shè)計(jì)要求,輸出信號(hào)0-1Mhz可調(diào)的四種波形,控制由4*4輸入,LCD液晶屏顯示當(dāng)前輸出波形、頻率及輸入時(shí)狀態(tài)。主程序設(shè)計(jì)流程圖如圖3-9所示。4*4鍵盤功能設(shè)計(jì)除0-9數(shù)字外,另有Mhz、Khz、hz三個(gè)鍵作為頻率輸入時(shí)確認(rèn)及頻率選擇快捷鍵,相位鍵功能為輸入數(shù)字后按下相位鍵則輸入數(shù)據(jù)做為相位控制字發(fā)送給DDS,波形切花及確認(rèn)件用于四種波形輸出選擇及確認(rèn),具體各鍵功能如表3-3所示。裝訂線數(shù)字按鍵波形切換更改波形結(jié)束頻率控制字寄存器相位控制字寄

40、存器數(shù)據(jù)方向是判定按鍵類型否是否有按鍵按下開始初始化程序狀態(tài)顯示 圖3-9鍵盤(jinpn)各鍵功能表3-3鍵值功能鍵值功能鍵值功能鍵值功能0數(shù)字04數(shù)字48數(shù)字8C單位Khz1數(shù)字15數(shù)字59數(shù)字9D單位hz2數(shù)字26數(shù)字6A確認(rèn)E相位3數(shù)字37數(shù)字7B單位MhzF波形切換 算法(sun f)實(shí)現(xiàn): 由DDS原理(yunl)可得, 頻率控制字=輸入頻率/分辨率 由DDS所設(shè)計(jì)計(jì)數(shù)器為N位,分辨率為: 相位控制字:裝訂線 相位控制字輸入為百分比輸入,相位控制字為N位數(shù)據(jù)。所以計(jì)數(shù)器所輸入相位控制字為: 相位控制字=輸入數(shù)據(jù)/100*32768 液晶顯示:程序算法的實(shí)現(xiàn)(shxin)為,當(dāng)按下數(shù)值時(shí),液晶屏顯示當(dāng)前輸入數(shù)據(jù),無按鍵輸入,顯示當(dāng)前波形狀態(tài)。波形切換時(shí),按下切換按鍵后,屏幕顯示當(dāng)前可輸出波形,切換到預(yù)輸出波形后,按下確認(rèn)鍵才能輸出預(yù)輸出波形。 裝訂線4 結(jié)束語此次函數(shù)(hnsh)發(fā)生器控制系統(tǒng)的設(shè)計(jì)需要液晶顯示芯片,控制(kngzh)芯片,以及控制對(duì)象部分。因此,我依次選擇了L

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論