2022年EDA技術(shù)實驗授課教案_第1頁
2022年EDA技術(shù)實驗授課教案_第2頁
2022年EDA技術(shù)實驗授課教案_第3頁
2022年EDA技術(shù)實驗授課教案_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、學(xué)習(xí)好資料 歡迎下載試驗一 3 8 譯碼器的設(shè)計與實現(xiàn)一、試驗?zāi)康模?、通過 38 譯碼器的設(shè)計,把握組合規(guī)律電路的設(shè)計方法;2、把握組合規(guī)律電路的靜態(tài)測試方法;3、初步把握可編程器件設(shè)計的全過程;二、試驗硬件要求:1、輸入:用三位撥碼開關(guān)代表譯碼器的輸入 A,B,C; 2、輸出:用 8 個 LED 指示燈代表輸出;用一個數(shù)碼管來顯示譯碼的結(jié)果;3、主芯片: Altera EPF10K10LC84-4 ;三、試驗內(nèi)容:功能要求: 將三個輸入信號看作編碼信號,對該三輸入的規(guī)律信號進行譯碼,輸出包含兩個顯示部分:1.選取試驗箱上的任意 8 個 LED 燈作為輸出結(jié)果的顯示判別指示,如輸入信號為“0

2、00” ,8 個 LED 指示燈中只有表示最低位的 LED 亮,而其他 7 個指示燈不亮;2.用數(shù)碼管直觀顯示譯碼的結(jié)果,如輸入信號為“000” ,數(shù)碼管顯示為“0” ;編寫 38 譯碼器的 VHDL 代碼,同時完成如下步驟:儲存、核查語法是否正確、挑選器件編譯、建立波形文件、功能仿真、設(shè)置管腳;四、試驗步驟:1、分析功能要求,提出設(shè)計思路;2、儲存、核查語法是否正確、挑選器件編譯、建立波形文件、功能仿真;3、下面介紹如何設(shè)置管腳;1菜單 maxplus2/floorplan editor 底層平面圖編輯器 2assign/device 進入器件挑選選項框;器件系列 device family

3、 選項框中挑選 flex10k ,在器件 device 中挑選 EPF10K10LC84-3 ,隨后點擊 ok;3在 assign 菜單下挑選pin/location/chip 選項,顯現(xiàn) pin/location/chip 對話框;點擊 search搜尋管腳:挑選 input 選項,點擊 list; 選中 A,隨后點擊 ok,再在此對話框中 pin 中挑選管腳,如挑選 2,點擊 add 后,鎖定管腳,隨后根據(jù)此方法,將全部的管腳都設(shè)置好,隨后進行編譯,編譯結(jié)果就可以下載到芯片中了;五、試驗報告要求:1、 分析功能要求,提出設(shè)計思路,書寫詳細的步驟即試驗過程中的詳細連線;2、 填寫下表:撥碼開

4、關(guān)(代表譯碼器 LED 指示燈(代表譯碼器輸出)輸入)A B C LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 3、 畫出原理模塊圖,畫出仿真波形圖;要求說明各個模塊中信號的用途;學(xué)習(xí)好資料 歡迎下載試驗二 多數(shù)表決器的設(shè)計與實現(xiàn)一、試驗?zāi)康模?、通過多數(shù)表決器的設(shè)計,把握組合規(guī)律電路的設(shè)計方法;2、把握組合規(guī)律電路的靜態(tài)測試方法;3、逐步把握可編程器件設(shè)計的全過程;二、試驗硬件要求:1、輸入:用 3 位撥碼開關(guān)代表多數(shù)表決器的輸入2、輸出:用蜂鳴器的鳴叫表示表決器

5、表決通過;用三個數(shù)碼管顯示裁判所對應(yīng)的編號;3、主芯片: Altera EPF10K10LC84-4 ;三、試驗內(nèi)容:編寫多數(shù)表決器的VHDL代碼,同時完成如下步驟:儲存、核查語法是否正確、挑選器件編譯、建立波形文件、功能仿真、設(shè)置管腳;功能要求: 有三位裁判, 要求當有兩個或者兩個以上的裁判同意通過時,蜂鳴器開頭鳴響,同時在數(shù)目管上顯示出同意通過的三位裁判的編號;顯示的數(shù)碼管一共使用 3 個,每一個數(shù)碼管負責(zé)顯示一個裁判的編號,當該裁判同意通過時,顯示該裁判的編號,不同意通過時,顯示的號碼為零;技術(shù)要求:采納模塊化的設(shè)計方法,有頂層原理圖,有底層程序;四、試驗步驟:1、分析功能要求,提出設(shè)計

6、思路;2、儲存、核查語法是否正確、建立波形文件、功能仿真;3、建立頂層原理圖,管腳連接;4、挑選器件,鎖定管腳,編譯生成下載文件;五、試驗報告要求:1、分析功能要求,提出設(shè)計思路,書寫詳細的步驟即試驗過程中的詳細連線;2、填寫下表:撥碼開關(guān)(代表表決器輸入)蜂鳴器(是數(shù)碼管顯示數(shù)值否鳴響)A B C Bell 數(shù)碼管 1 數(shù)碼管 2 數(shù)碼管 3 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 3 畫出原理模塊圖,畫出仿真波形圖;要求說明各個模塊中信號的用途;學(xué)習(xí)好資料 歡迎下載試驗三 任意整數(shù)模計數(shù)器的設(shè)計與實現(xiàn) 一、試驗?zāi)康模?、通過任意整數(shù)模

7、計數(shù)器的設(shè)計,把握時序規(guī)律電路的設(shè)計方法;2、把握時序規(guī)律電路的靜態(tài)測試方法;3、逐步把握可編程器件設(shè)計的全過程;二、試驗硬件要求:1、輸入:清零信號1 個、預(yù)置信號1 個、時鐘信號1 個、數(shù)據(jù)總線7 條;數(shù)碼管掃描時鐘信號1 個;1 條;2、輸出:作為數(shù)碼管輸入信號7 條,數(shù)碼管選通信號3、主芯片: Altera EPF10K10LC84-4 ;三、試驗內(nèi)容:編寫任意整數(shù)模計數(shù)器的VHDL 代碼,同時完成如下步驟:儲存、核查語法是否正確、挑選器件編譯、建立波形文件、功能仿真、設(shè)置管腳;功能要求: 計數(shù)器要具有如下功能:預(yù)置數(shù), 可以裝載一個初始值,從所裝載的數(shù)值開始計數(shù);清零,當系統(tǒng)清零信號

8、有效時,使計數(shù)器的結(jié)果為 0;任意模,程序下載到目標器 件中之后,可以通過掌握,使得計數(shù)器的模值隨便轉(zhuǎn)變;計數(shù)范疇要求在 1-99 之間;數(shù)碼管顯示,將計數(shù)器的當前計數(shù)值在兩個數(shù)碼管上顯示;技術(shù)要求:采納模塊化的設(shè)計方法,有頂層原理圖,有底層程序;四、試驗步驟:1、分析功能要求,提出設(shè)計思路;2、儲存、核查語法是否正確、建立波形文件、功能仿真;3、建立頂層原理圖,管腳連接;4、挑選器件,鎖定管腳,編譯生成下載文件;五、試驗報告要求:1、分析功能要求,提出設(shè)計思路,書寫詳細的步驟即試驗過程中的詳細連線;2、畫出原理模塊圖,畫出每個模塊的仿真波形圖;要求說明各個模塊中信號的用途;學(xué)習(xí)好資料 歡迎下

9、載試驗四 數(shù)字鐘的設(shè)計與實現(xiàn) 一、試驗?zāi)康模?、通過數(shù)字鐘的設(shè)計與實現(xiàn),把握時序規(guī)律電路的設(shè)計方法;2、把握時序規(guī)律電路的靜態(tài)測試方法;3、逐步把握可編程器件設(shè)計的全過程;二、試驗硬件要求:1、輸入:校對時分掌握信號、數(shù)據(jù)信號、計時時鐘信號、掃描數(shù)碼管顯示時鐘信號 燈;2、輸出:作為數(shù)碼管輸入信號7 條,數(shù)碼管選通信號3 條;3、主芯片: Altera EPF10K10LC84-4 ;三、試驗內(nèi)容:編寫任意整數(shù)模計數(shù)器的VHDL 代碼,同時完成如下步驟:儲存、核查語法是否正確、挑選器件編譯、建立波形文件、功能仿真、設(shè)置管腳;功能要求: 顯示當前時間的時分秒,它們分別對應(yīng)兩個數(shù)碼管,要求該數(shù)字電子鐘具有對表和設(shè)置鬧鐘的功能;技術(shù)要求:采納模塊化的設(shè)計方法,有頂層原理圖,有底層程序;四、試驗步驟:1、分析功能要求

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論