匯編語言課程設(shè)計(jì)報(bào)告_第1頁
匯編語言課程設(shè)計(jì)報(bào)告_第2頁
匯編語言課程設(shè)計(jì)報(bào)告_第3頁
匯編語言課程設(shè)計(jì)報(bào)告_第4頁
匯編語言課程設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩16頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、-. z.課 程 設(shè) 計(jì) 報(bào) 告課程名稱 匯編語言程序設(shè)計(jì) 課題名稱 1.顯示彩色菱形 2.顯示系統(tǒng)當(dāng)前時(shí)間專 業(yè) 班 級(jí) 學(xué) 號(hào) 姓 名 指導(dǎo)教師 年 月 日-. z.課 程 設(shè) 計(jì) 任 務(wù) 書 課程名稱 匯編語言程序設(shè)計(jì) 課 題 1.顯示彩色菱形2.顯示系統(tǒng)當(dāng)前時(shí)間 專業(yè)班級(jí) 學(xué)生* 學(xué) 號(hào) 指導(dǎo)教師 審 批 任務(wù)書下達(dá)日期 年 月 日任務(wù)完成日期 年 月 日-. z.一、設(shè)計(jì)內(nèi)容與設(shè)計(jì)要求 1設(shè)計(jì)內(nèi)容:通過本周的課程設(shè)計(jì),學(xué)生能夠穩(wěn)固所學(xué)的根底知識(shí),并能夠使學(xué)生更加熟練運(yùn)用匯編程序設(shè)計(jì)語言來掌握綜合匯編程序設(shè)計(jì)的方法和過程,以及為設(shè)計(jì)其它應(yīng)用軟件提供幫助,進(jìn)而提高學(xué)生的軟件設(shè)計(jì)能力。(1

2、)、編制一計(jì)算比賽成績(jī)的程序,要求完成以下功能: a、9名評(píng)委,每個(gè)評(píng)委給分*圍為0100整數(shù); b、9名評(píng)委給分中,去掉一個(gè)最高分和一個(gè)最低分,剩余7名評(píng)委的平均分即為參賽者的得分準(zhǔn)確到小數(shù)點(diǎn)二位; c、將得分在光標(biāo)位置處顯示出來。(2)、編制一程序,要求接收從鍵盤輸入的一個(gè)班的學(xué)生成績(jī),并存放于30字的grade數(shù)組中,各數(shù)據(jù)的格式為:*,成績(jī)1,成績(jī)2,成績(jī)5然后根據(jù)grade中的學(xué)生成績(jī),把學(xué)生名次填入rank數(shù)據(jù)組中,并顯示出來,格式為:*,名次(3)、利用INT 1CH大約每秒中斷18.2次編寫一個(gè)中斷駐留程序,要求在屏幕的右上角顯示計(jì)算機(jī)中當(dāng)前系統(tǒng)時(shí)間,顯示格式為時(shí):分:秒:1/

3、100秒(4)、輸入一個(gè)菱形的兩條對(duì)角線長(zhǎng),在屏幕上用*號(hào)畫出一個(gè)彩色的菱形。(5)、設(shè)置圖形方式并顯示彩條,要求: 選擇背風(fēng)光為藍(lán)色,調(diào)色板為0,然后每行顯示一種顏色,每四行重復(fù)一次,一直到整個(gè)屏幕都顯示出彩條2設(shè)計(jì)要求:掌握構(gòu)造化程序設(shè)計(jì)的主體思想,以自頂向下逐步求精的方法編制程序解決一些實(shí)際的問題,為將來編寫軟件積累一些典型的案例處理經(jīng)歷。1、設(shè)計(jì)正確,方案合理。2、界面友好,使用方便。3、程序精煉,構(gòu)造清晰。4、設(shè)計(jì)報(bào)告5000字以上,含程序設(shè)計(jì)說明,用戶使用說明,源程序清單及程序框圖。5、上機(jī)演示。6、按*順序選課題號(hào),并在規(guī)定的時(shí)間內(nèi)單獨(dú)完成相關(guān)課題的匯編源程序編-. z.寫、調(diào)整

4、和運(yùn)行。源程序及編譯生成各文件均保存在軟盤中; 7、按本任務(wù)書的要求,按附錄的要求編寫課程設(shè)計(jì)報(bào)告Word文檔格式。并用A4的復(fù)印紙打印并裝訂; 二、進(jìn)度安排第 18 周: 星期1 下午2:00-5:30 星期2 下午2:00-5:30 星期4 下午2:00-5:30 附:課程設(shè)計(jì)報(bào)告裝訂順序:封面、任務(wù)書、目錄、正文、評(píng)分、附件A4大小的圖紙及程序清單。 正文的格式:一級(jí)標(biāo)題用3號(hào)黑體,二級(jí)標(biāo)題用四號(hào)宋體加粗,正文用小四號(hào)宋體;行距為22。正文的內(nèi)容:一、課題的主要功能;二、課題的功能模塊的劃分要求畫出模塊圖;三、主要功能的實(shí)現(xiàn)至少要有一個(gè)主要模塊的流程圖;四、程序調(diào)試;五、總結(jié);六、附件所

5、有程序的原代碼,要求對(duì)程序?qū)懗霰匾淖⑨?。正文總字?jǐn)?shù)要求在5000字以上不含程序原代碼。-. z.目錄TOC o 1-3 h u HYPERLINK l _Toc14230 課題一:畫出一個(gè)彩色的菱形 PAGEREF _Toc14230 1 HYPERLINK l _Toc28013 一、 課題的主要功能 PAGEREF _Toc28013 1 HYPERLINK l _Toc27667 二、 課題的功能模塊的劃分 PAGEREF _Toc27667 2 HYPERLINK l _Toc12885 三、 主要功能的實(shí)現(xiàn) PAGEREF _Toc12885 4 HYPERLINK l _Toc2

6、8894 四、 程序調(diào)試 PAGEREF _Toc28894 5 HYPERLINK l _Toc14724 五、 總結(jié) PAGEREF _Toc14724 6 HYPERLINK l _Toc27205 課題二:顯示計(jì)算機(jī)中當(dāng)前系統(tǒng)時(shí)間 PAGEREF _Toc27205 7 HYPERLINK l _Toc12697 一、 課題的主要功能 PAGEREF _Toc12697 7 HYPERLINK l _Toc8644 二、 課題的功能模塊的劃分 PAGEREF _Toc8644 7 HYPERLINK l _Toc26013 三、 主要功能的實(shí)現(xiàn) PAGEREF _Toc26013 9

7、HYPERLINK l _Toc25232 四、 程序調(diào)試 PAGEREF _Toc25232 10 HYPERLINK l _Toc5666 五、 總結(jié) PAGEREF _Toc5666 11 HYPERLINK l _Toc600 附件(源代碼) PAGEREF _Toc600 12 HYPERLINK l _Toc22113 1. 畫出一個(gè)彩色的菱形 PAGEREF _Toc22113 12 HYPERLINK l _Toc18425 2.顯示計(jì)算機(jī)中當(dāng)前系統(tǒng)時(shí)間 PAGEREF _Toc18425 17-. z.課題一:畫出一個(gè)彩色的菱形課題的主要功能輸入一個(gè)菱形的兩條對(duì)角線長(zhǎng),在屏幕

8、上用*號(hào)畫出一個(gè)彩色的菱形。程序運(yùn)行時(shí)會(huì)有提示要求用戶輸入菱形的對(duì)角線長(zhǎng)。在界面上用戶須分別輸入菱形的橫向和豎向?qū)蔷€長(zhǎng)。程序會(huì)跟據(jù)輸入的對(duì)角線長(zhǎng)度計(jì)算出菱形所在的一個(gè)矩形區(qū)域設(shè)置雙重循環(huán)的參數(shù),然后以0,0為原點(diǎn)橫向?yàn)?軸,豎向?yàn)閅軸,建立坐標(biāo)系。先確定菱形的左頂點(diǎn)坐標(biāo)為0,10,然后根據(jù)對(duì)角線長(zhǎng)計(jì)算下頂點(diǎn)的坐標(biāo)L/2,10+W/2,再將菱形分成四個(gè)區(qū)域,在左下角的區(qū)域內(nèi)判斷要顯示的*,再根據(jù)對(duì)稱的關(guān)系將其他三個(gè)區(qū)域填滿。左下角區(qū)域的判斷是根據(jù)那兩個(gè)點(diǎn)的坐標(biāo)建立的一次不等式,滿足條件的顯示。用BIOS的02H功能來設(shè)置光標(biāo)位置,用09H功能來設(shè)置光標(biāo)的位置顯示字符及其屬性,屬性即為顯示字符的

9、顏色,每顯示一個(gè)顏色就換一種。課題的功能模塊的劃分 輸入對(duì)角線長(zhǎng) 計(jì)算參數(shù) 設(shè)置光標(biāo)位置 判斷是否滿足條件 顯示圖形 完畢圖1系統(tǒng)功能模塊本課題共分為五個(gè)模塊,即輸入對(duì)角線模塊,計(jì)算參數(shù)模塊,設(shè)置光標(biāo)模塊,判斷模塊,顯示圖形模塊。輸入模塊: MOV AH,01H INT 21H 分為四個(gè)字符輸入,第一,二個(gè)字符為橫向長(zhǎng)L,先將第一個(gè)字符轉(zhuǎn)化為數(shù)值 SUB AL,30H MUL N 兩位數(shù),再加上第二個(gè)字符的數(shù)值個(gè)位數(shù)變?yōu)橐粋€(gè)兩位數(shù),第二個(gè)兩位數(shù)同理得豎向長(zhǎng)W。計(jì)算參數(shù)模塊:本程序包括A,B,E,*,Y,I,OLD,NEI,WAI參數(shù)需要計(jì)算,A=W/2,B=L/2,E=B,*=DL,Y=DH,

10、I控制字符顏色0-7循環(huán),LEA OLD,ATRI,NEI=B+1,WAI=A+1。設(shè)置光標(biāo)模塊:將光標(biāo)移至0,10+A,并從這點(diǎn),逐行遍歷完左下角的矩形區(qū)域。其他三個(gè)區(qū)域根據(jù)對(duì)稱的關(guān)系移動(dòng)。判斷模塊:根據(jù)D*中的內(nèi)容取出*=DL,Y=DH,代入不等式BY=A*+10,滿足條件的點(diǎn)就顯示出來。顯示圖形模塊:假設(shè)此點(diǎn)滿足條件就顯示出來,每顯示一次顏色就變一次,然后將光標(biāo)移至其他三個(gè)區(qū)域?qū)ΨQ的點(diǎn)顯示,其他三個(gè)區(qū)域的坐標(biāo)為2E-*,Y 2E-*,20-Y *,20-Y。主要功能的實(shí)現(xiàn)本程序主要功能是兩層循環(huán)中的判斷和顯示局部 PUSH C* MOV C*,NEI PUSH C*設(shè)置光標(biāo)位置 獲得*,

11、Y BY=A*+10 N Y 在此點(diǎn)顯示*,顏色屬性改變 其他三個(gè)對(duì)稱區(qū)域顯示*,顏色屬性改變 POP C* INC DL DEC C* C*=0 NDEC DH MOV DL,0POP C* DEC C* Y C*=0N 完畢Y 圖2 判斷和顯示流程圖程序調(diào)試1.輸入橫向長(zhǎng)度和豎向長(zhǎng)度 圖 3 彩色菱形2.輸入橫向長(zhǎng)度和豎向長(zhǎng)度 圖4 彩色菱形總結(jié)課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)我們的實(shí)際工作能力的具體訓(xùn)練和考察過程。通過幾天的課程設(shè)計(jì)使我們懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相

12、結(jié)合起來,從理論中得出結(jié)論。在設(shè)計(jì)的過程中遇到問題,可以說得是困難重重,所以在設(shè)計(jì)的過程中發(fā)現(xiàn)了自己的缺乏之處,對(duì)一些前面學(xué)過的知識(shí)理解得不夠深刻,掌握得不夠結(jié)實(shí),通過這次課程設(shè)計(jì)之后,我們把前面所學(xué)過的知識(shí)又重新溫故了一遍。在課程設(shè)計(jì)過程中,收獲知識(shí),提高能力,懂得怎么樣去制定方案,怎么樣去實(shí)現(xiàn)這個(gè)方案。不畏困難,勇往直前!課題二:顯示計(jì)算機(jī)中當(dāng)前系統(tǒng)時(shí)間課題的主要功能 本課題的主要功能是利用INT 1CH大約每秒中斷18.2次編寫一個(gè)中斷駐留程序,要求在屏幕的右上角顯示計(jì)算機(jī)中當(dāng)前系統(tǒng)時(shí)間,顯示格式為時(shí):分:秒:1/100秒完成該課題的系統(tǒng)時(shí)間功能,需要不斷地同步顯示當(dāng)前系統(tǒng)時(shí)間,這里我們

13、需要用到INT 1CH,平均每秒中斷18.2次,以這樣的頻率同步顯示系統(tǒng)時(shí)間以滿足人們的視覺效果。完成系統(tǒng)時(shí)間同步的前提下還要讀取系統(tǒng)時(shí)間和顯示系統(tǒng)時(shí)間。課題的功能模塊的劃分 2.1 功能模塊解釋 課題的功能模板就是在定義好數(shù)據(jù)之后,完成讀取當(dāng)前系統(tǒng)時(shí)間和顯示當(dāng)前系統(tǒng)時(shí)間兩大模塊。包括獲取原終端類型、保存原中斷類型、設(shè)置新的中斷類型、執(zhí)行中斷處理程序、應(yīng)用堆棧保護(hù)現(xiàn)場(chǎng)、讀取系統(tǒng)時(shí)間,然后分別將時(shí)間的BCD碼轉(zhuǎn)換為字符,在制定內(nèi)存位置寫入時(shí)間然后顯示時(shí)間,最后恢復(fù)現(xiàn)場(chǎng),中斷返回。 該課題采用了調(diào)用子程序的思想來完成,主體功能都通過子程序來完成,中斷模塊、讀取系統(tǒng)時(shí)間模塊、顯示系統(tǒng)模塊、現(xiàn)場(chǎng)保護(hù)模

14、塊及現(xiàn)場(chǎng)恢復(fù)模塊。通過調(diào)用子程序的方法使本程序更加具有條理性,其中現(xiàn)場(chǎng)保護(hù)是為了使存放器中有用的數(shù)據(jù)保存起來,讓存放器進(jìn)展其他運(yùn)算,現(xiàn)場(chǎng)恢復(fù)是使原來保存起來的有用的數(shù)據(jù)進(jìn)展恢復(fù),讀取系統(tǒng)當(dāng)前時(shí)間并使屏幕顯示的時(shí)間與系統(tǒng)當(dāng)前時(shí)間同步變化,這就不得不使用中斷模塊,運(yùn)用INT 1CH,可以每秒中斷18.2次,足以滿足視覺上的同步。2.2 功能模塊流程圖 主程序顯示當(dāng)前系統(tǒng)時(shí)間 子程序模塊中斷模塊顯示當(dāng)前系統(tǒng)時(shí)間讀取系統(tǒng)當(dāng)前時(shí)間現(xiàn)場(chǎng)恢復(fù)及保護(hù)模塊圖1 功能模塊流程圖主要功能的實(shí)現(xiàn)系統(tǒng)時(shí)間功能調(diào)用 實(shí)現(xiàn)獲取當(dāng)前系統(tǒng)時(shí)間并顯示當(dāng)前系統(tǒng)時(shí)間。 步驟一:定好數(shù)據(jù)之后,在DOS環(huán)境下,用2C號(hào)取系統(tǒng)時(shí)間的功能,

15、將時(shí)賦值給CH,將分賦值給CL,將秒賦值給DH,將1/100賦值給DL。系統(tǒng)時(shí)間顯示 子函數(shù)INT 1C來具體實(shí)現(xiàn)當(dāng)前系統(tǒng)時(shí)間,將從系統(tǒng)中讀取的時(shí)、分、秒分別送入內(nèi)存T到T7,然后塊裝入指令把SI指向的存儲(chǔ)單元讀入累加器。 保護(hù)現(xiàn)場(chǎng) 開 始 讀取系統(tǒng)時(shí)間獲取原中斷類型1CH 分別將時(shí)間的BCD碼轉(zhuǎn)換為字符 保存原中斷類型在指定的位置寫入時(shí)間并顯示時(shí)間設(shè)置新的中斷類型 恢復(fù)現(xiàn)場(chǎng)執(zhí)行中斷處理程序 中斷返回 圖2 時(shí)間顯示流程圖程序調(diào)試程序運(yùn)行顯示當(dāng)前系統(tǒng)時(shí)間總結(jié)本文的主要內(nèi)容是介紹用匯編語言8086/DOS實(shí)現(xiàn)一個(gè)顯示系統(tǒng)時(shí)間的程序,在界顯示系統(tǒng)時(shí)間,在設(shè)計(jì)過程中,首先是按照題目所給的具體要求,根

16、據(jù)自己的設(shè)計(jì)思路,畫出流程圖,然后查閱相關(guān)資料,寫出流程圖中的各段子程序,把子程序放在MasmforWindows集成環(huán)境中進(jìn)展調(diào)試,找出程序中的錯(cuò)誤,并進(jìn)展修改和不斷完善,以得到符合要求的程序。有了這些子程序后,我們就可以根據(jù)要求寫出源程序,但在寫源程序的過程中遇到了很多困難,經(jīng)過反復(fù)調(diào)試跟修改,最終得到了符合要求的源程序。一周的匯編語言課程設(shè)計(jì)完畢了,我的收獲很大。通過課程設(shè)計(jì)的編程和對(duì)編程過程中遇到的問題的思考,有效的提高了我對(duì)匯編的認(rèn)識(shí)和理解,切實(shí)的提高了我的編程能力,補(bǔ)全了我的缺乏。通過這次課程設(shè)計(jì),我穩(wěn)固所了學(xué)過的知識(shí),能用匯編語言熟練地運(yùn)用順序、選擇、循環(huán)三大構(gòu)造,進(jìn)一步掌握了各

17、個(gè)指令的功能,特別是轉(zhuǎn)向指令,掌握了子程序的定義及調(diào)用,以及正確地運(yùn)用DEBUG指令。在整個(gè)程序的編寫中,調(diào)試占了很大局部的時(shí)間。要想寫好匯編語言的程序,必須認(rèn)真對(duì)待代碼的每一個(gè)細(xì)節(jié),還必須熟練的掌握debug命令,這對(duì)程序的調(diào)試是非常重要的。通過這次實(shí)際動(dòng)手能力的培養(yǎng),我進(jìn)一步熟悉了匯編語言的構(gòu)造和使用方法,根本到達(dá)了能獨(dú)立閱讀、編制和調(diào)試一定規(guī)模的匯編語言程序的水平。另外,就是我對(duì)這門課內(nèi)容的學(xué)習(xí)感受。要學(xué)好這門課,以我愚見無非有以下三點(diǎn):1 課本上應(yīng)該記住的東西一定要記住,記不住就沒法做題,例如指令,尋址方式等等假設(shè)記住你做題就會(huì)做,看程序也就能看懂,記不住見了它就會(huì)對(duì)它不知所措,一臉茫

18、然。2)預(yù)習(xí)很重要,這一點(diǎn)不僅適用于這一科的學(xué)習(xí),確切的說它適用于任何一科,有的同學(xué)這一點(diǎn)作的不好,上課只知道豎著兩個(gè)耳朵傻傻的聽教師講課,也不注意動(dòng)動(dòng)腦子隨時(shí)思考,延續(xù)以前填鴨式的學(xué)習(xí)方式,想讓教師講給所有的東西,殊不知很多東西還要自己從書本獲得。這就難免出現(xiàn)聽不懂的情況,這好比讓一個(gè)不懂外語的人聽外語錄音,在他的心里,聽外語就像聽天書一般,所以說你要學(xué)一點(diǎn)新東西,前提是你對(duì)它要有一點(diǎn)了解,不然的話你所作的一切只不過是在浪費(fèi)時(shí)間,浪費(fèi)金錢,浪費(fèi)生命。3理論與實(shí)踐相結(jié)合,不懂的地方應(yīng)勤問教師勤問同學(xué),直到把它搞懂為止。 總之,這學(xué)期的課程設(shè)計(jì),讓我們學(xué)到了很多,受益匪淺。附件(源代碼)畫出一個(gè)

19、彩色的菱形DATAS SEGMENT;此處輸入數(shù)據(jù)段代碼 ATRI DB 0AH,0BH,0CH,09H,0DH,08H,0EH L DW W DW N DB 10 A DB B DB E DB D DB * DB Y DB I DB 0 OLD DW NEI DW WAI DWDATAS ENDSSTACKS SEGMENT PARA STACK STACK;此處輸入堆棧段代碼STACKS ENDSCODES SEGMENTASSUME CS:CODES,DS:DATAS,SS:STACKSSTART:MOV A*,DATASMOV DS,A*MOV AH,0MOV AL,3 INT 10H

20、MOV AH,01H INT 21HPUSH B*SUB AL,30HMUL NMOV L,A*MOV AH,01H INT 21HSUB AL,30HMOV C*,L MOV AH,0ADD C*,A*MOV L,C*MOV AH,01H ;空格 INT 21HMOV AH,01H ;寬 INT 21HSUB AL,30HMUL NMOV W,A*MOV AH,01H INT 21HSUB AL,30HMOV B*,W MOV AH,0ADD B*,A*MOV W,B*LEA SI,ATRIMOV OLD,SI MOV BL,2MOV A*,WDIV BLMOV A,AL ;AMOV A*,

21、LDIV BLMOV E,ALMOV B,AL ;BMOV BL,EMOV AL,2MUL BLMOV E,ALMOV BL,2MOV A*,WDIV BLINC AL MOV AH,0 ; MOV WAI,A* ;外循環(huán)MOV BL,2MOV A*,LDIV BL INC ALMOV AH,0MOV NEI,A* ;光標(biāo)位置; MOV BL,2MOV A*,WDIV BLADD AL,10MOV DH,ALMOV DL,0;POP B*MOV AH,02H INT 10HMOV C*,WAILOOP1: PUSH C* ;外循環(huán)MOV C*,NEI ;內(nèi)循環(huán)C*;LOOP2:PUSH B*P

22、USH C* ;內(nèi)循環(huán)MOV AH,02H INT 10H MOV *,DL ;*坐標(biāo)MOV Y,DH ;Y坐標(biāo)MOV AL,BMUL YMOV B*,A* ;不等式左邊B*MOV AL,AMUL *MOV C*,A*MOV AL,10MUL BADD C*,A* ;不等式右邊C*CMP B*,C* JA NE*T ;顯示程序MOV A*,092AHMOV BL,SI ;SI為顏色值MOV C*,1 INT 10HMOV BL,*MOV BH,0PUSH D* PUSH B*MOV AL,ESUB AL,*MOV DL,AL ;1_*MOV AH,02H INT 10HMOV A*,092AH

23、MOV BL,SI ;SI為顏色值MOV C*,1 INT 10H ;顯示1號(hào)點(diǎn)MOV AL,20SUB AL,YMOV DH,AL ;2_YMOV AH,02H INT 10HMOV A*,092AHMOV BL,SI ;SI為顏色值MOV C*,1 INT 10H ;顯示2號(hào)點(diǎn)POP B*MOV DL,BLMOV AH,02H INT 10HMOV A*,092AHMOV BL,SI ;SI為顏色值MOV C*,1 INT 10H ;顯示3號(hào)點(diǎn);INC IINC SICMP I,7 JNE BLAG4MOV I,0MOV SI,OLD BLAG4:;POP D* NE*T: ;不顯示跳的這

24、POP C*POP B* INC DL DEC C* JNZ LOOP2 ;內(nèi)循環(huán)完畢DEC DHMOV DL,0POP C*DEC C* JNZ LOOP1 ;外循環(huán)完畢MOV D*,1310HMOV AH,02H INT 10H MOV AH,4CH INT 21HCODES ENDSENDSTART2.顯示計(jì)算機(jī)中當(dāng)前系統(tǒng)時(shí)間DATAS SEGMENT cursor equ 45h attrib equ 2fh;此處輸入數(shù)據(jù)段代碼DATAS ENDSSTACKS SEGMENT;此處輸入堆棧段代碼STACKS ENDSCODES SEGMENTASSUME CS:CODES,DS:DAT

25、AS,SS:STACKSSTART:MOV A*,DATASMOV DS,A* jmp go oldcur dw old1c dw 2 dup() newint1c:pushf call dword ptr cs:old1cpush a*push b*push c*push d*or bh,bhmov ah,3 int 10hmov cs:oldcur,d*mov ah,2*or bh,bhmov d*,012FH int 10hmov ah,2ch int 21h;ch=hour;cl=minute;dh=second;dl=1/100 second;push d*push c*;show

26、hourpop b*push b* call showhour call showcolon;show minutepop b* call showmin call showcolon;show secondpop b*push b* call showsec call showcolon;show 10 msecpop b* call showminmov d*,cs:oldcurmov ah,2*or bh,bh int 10hpop d*pop c*pop b*pop a* iret showhour proc nearpush b*pop a*mov cl,8shr a*,clmov bl,10div bladd al,30h call show call curmovemov cl,8shr a*,cladd al,30h call show call curmove ret showhour endp showmin proc nearpush b*pop a*mov cl,8shl a*,clshr a*,clmov bl,10div bladd al,30h call show call curmovemo

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論