基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)設(shè)計(jì)與仿真的課件_第1頁(yè)
基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)設(shè)計(jì)與仿真的課件_第2頁(yè)
基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)設(shè)計(jì)與仿真的課件_第3頁(yè)
基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)設(shè)計(jì)與仿真的課件_第4頁(yè)
基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)設(shè)計(jì)與仿真的課件_第5頁(yè)
已閱讀5頁(yè),還剩8頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)設(shè)計(jì)與仿真一、引言隨著科學(xué)技術(shù)的進(jìn)步,電子器件和電子系統(tǒng)設(shè)計(jì)方法日新月異,電子設(shè)計(jì)自動(dòng)化(Electronics Design Automation,EDA)技術(shù)正是適應(yīng)了現(xiàn)代電子產(chǎn)品設(shè)計(jì)的要求,吸收了多學(xué)科最新成果而形成的一門(mén)新技術(shù)。隨著基于FPGA的EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息、通信、自動(dòng)控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性日益突出。為保證電子產(chǎn)品設(shè)計(jì)的速度和質(zhì)量,適應(yīng)“第一時(shí)間推出產(chǎn)品”的設(shè)計(jì)要求,EDA技術(shù)已成為不可缺少的一項(xiàng)先進(jìn)技術(shù)和重要工具。 這次研究設(shè)計(jì)的“基于FPGA的模擬信號(hào)檢測(cè)處理系統(tǒng)”就是運(yùn)用可編程邏輯器件為主系

2、統(tǒng)芯片,用VHDL對(duì)其進(jìn)行設(shè)計(jì)開(kāi)發(fā),系統(tǒng)完全依靠于數(shù)字化的測(cè)量,采用VHDL硬件描述語(yǔ)言,以FPGA器件作為控制的核心,使整個(gè)系統(tǒng)顯得尤為精簡(jiǎn),能達(dá)到所要求的技術(shù)指標(biāo),具有靈活的現(xiàn)場(chǎng)更改性,還有處理速度快,實(shí)時(shí)性好、可靠、抗干擾性強(qiáng)等優(yōu)點(diǎn)。 該課題是基于FPGA設(shè)計(jì)。實(shí)際上仍然采用VHDL語(yǔ)言編寫(xiě)源程序,并且通過(guò)Max+Plus 10.0進(jìn)行編譯、仿真和下載實(shí)現(xiàn)其功能。模擬信號(hào)檢測(cè)處理系統(tǒng)大致結(jié)構(gòu)可以分為七個(gè)主要部分,即:8位二進(jìn)制循環(huán)加法計(jì)數(shù)器、數(shù)據(jù)鎖存器、數(shù)據(jù)處理模塊、片選信號(hào)模塊、進(jìn)制轉(zhuǎn)換模塊、小數(shù)點(diǎn)控制模塊和七段譯碼顯示模塊等。另外,在進(jìn)行數(shù)據(jù)比較時(shí)上升沿和下降沿都會(huì)有毛刺出現(xiàn),所以在

3、CPLD的輸入管腳出添加了消抖動(dòng)模塊。整體上看來(lái),模塊間的布局與功能銜接都是非常重要的。二、總體設(shè)計(jì) 通過(guò)仔細(xì)分析和論證,本次設(shè)計(jì)完成的模擬信號(hào)檢測(cè)處理主要將以FPGA EPF30TC144-3芯片作為主控單元芯片。系統(tǒng)硬件可以劃分為8位二進(jìn)制循環(huán)加法計(jì)數(shù)器單元、數(shù)據(jù)鎖存單元、數(shù)據(jù)處理單元、片選模塊、進(jìn)制轉(zhuǎn)換模塊、小數(shù)點(diǎn)控制單元和七段譯碼顯示器模塊等七個(gè)模塊電路。整個(gè)系統(tǒng)將在程序控制下運(yùn)行,通過(guò)可變電阻器模擬05V的模擬量輸入值,再通過(guò)運(yùn)放(電壓比較器)比較得到的數(shù)據(jù)即電壓值用數(shù)碼管顯示出來(lái),從而實(shí)現(xiàn)對(duì)所得數(shù)據(jù)進(jìn)行處理。整體系統(tǒng)方框圖如下圖2.1所示,原理框圖如圖2.2所示。圖2.1 模擬信號(hào)

4、檢測(cè)處理系統(tǒng)方框圖圖2.2 模擬信號(hào)檢測(cè)處理系統(tǒng)原理框圖三、各單元模塊設(shè)計(jì)主控芯片部分(FPGA)芯片 根據(jù)課題要求,控制單元主要用于對(duì)電路采集轉(zhuǎn)換后的測(cè)量結(jié)果進(jìn)行運(yùn)算,處理并控制顯示輸出。由此我們對(duì)主控單元芯片選擇了ALTERA公司的EPF30TC144-3芯片作為主控單元。數(shù)模轉(zhuǎn)換部分 數(shù)模轉(zhuǎn)換器是將數(shù)字信號(hào)轉(zhuǎn)換為模擬信號(hào)的系統(tǒng)。數(shù)字信號(hào)先進(jìn)行解碼,即把數(shù)字碼字轉(zhuǎn)換成與之對(duì)應(yīng)的電平,形成階梯狀信號(hào),然后進(jìn)行低通濾波。本次設(shè)計(jì)數(shù)模轉(zhuǎn)換采用DAC0832芯片實(shí)現(xiàn)。8位并行、中速(建立時(shí)間1us)、電流型。集成運(yùn)放電路(電壓比較器) 系統(tǒng)硬件設(shè)計(jì)中運(yùn)用了運(yùn)放電路,我們將采用LM358。其功能是同

5、相端連接來(lái)自DAC0832輸出的控制模擬量,另一反相端就連接可變電阻器的模擬量輸入值。運(yùn)放作為電壓比較器對(duì)兩路輸入模擬信號(hào)進(jìn)行比較,輸出則為二進(jìn)制信號(hào),當(dāng)輸入電壓的差值增大或減小時(shí),其輸出保持恒定。這是比較器的性能及其典型應(yīng)用。七段數(shù)碼管顯示 本次設(shè)計(jì)中需要用到74LS47作為譯碼顯示輸出的主體芯片,是BCD-7段譯碼器/驅(qū)動(dòng)器,將采用共陽(yáng)極接線方式,是數(shù)字集成電路,用于將BCD碼轉(zhuǎn)換成數(shù)碼塊中的數(shù)字,然后我們就能看到從0-9的數(shù)字。 外圍輔助設(shè)計(jì)(系統(tǒng)程序) 系統(tǒng)軟件編程采用VHDL硬件描述語(yǔ)言,對(duì)可編程邏輯器件進(jìn)行編程實(shí)現(xiàn)各項(xiàng)功能。系統(tǒng)程序可以實(shí)現(xiàn)對(duì)同步信號(hào)脈沖的輸入、待測(cè)模擬信號(hào)檢測(cè)和處理、顯示等功能。具體由8位二進(jìn)制循環(huán)加法計(jì)數(shù)器、數(shù)據(jù)鎖存器、數(shù)據(jù)處理模塊片選信號(hào)模塊、消抖動(dòng)模塊

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論