微機(jī)系統(tǒng)原理及接口技術(shù)課件_第1頁
微機(jī)系統(tǒng)原理及接口技術(shù)課件_第2頁
微機(jī)系統(tǒng)原理及接口技術(shù)課件_第3頁
微機(jī)系統(tǒng)原理及接口技術(shù)課件_第4頁
微機(jī)系統(tǒng)原理及接口技術(shù)課件_第5頁
已閱讀5頁,還剩72頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第六章 輸入/輸出接口7學(xué)時(shí)6.1 輸入/輸出接口概述6.2 I/O端口的編址方式 6.3 I/O接口的訪問控制 6.4 I/O接口的數(shù)據(jù)傳輸控制方式6.5 基本輸入輸出接口6.1 輸入/輸出接口概述接口解決微處理器與外設(shè)之間的差異 外設(shè)種類多信號(hào)類型有機(jī)械的、物理的、電信號(hào)等信號(hào)形式有脈沖、模擬量或數(shù)字量數(shù)據(jù)傳輸率不同數(shù)據(jù)格式不同數(shù)據(jù)傳輸方式不同,有并行、串行之分CPU與外設(shè)都是面向接口而非直接聯(lián)絡(luò)接口電路中的信息數(shù)據(jù)信息、狀態(tài)信息及控制信息 主機(jī)外設(shè) 接口電路DBABCB數(shù)據(jù)信息控制信息狀態(tài)信息數(shù)據(jù)信息數(shù)據(jù)信息:要交換的數(shù)據(jù)本身。數(shù)字量:通常以8位或16位的二進(jìn)制數(shù)以及ASCII碼的形式傳

2、輸,主要指由鍵盤、磁帶機(jī)、磁盤等輸入的信息或主機(jī)送給打印機(jī)、顯示器、繪圖儀等的信息開關(guān)量:用“0”和“1”來表示兩種狀態(tài),如開關(guān)的通/斷模擬量:模擬的電壓、電流或者非電量。對(duì)模擬量輸入而言,需先經(jīng)過傳感器轉(zhuǎn)換成電信號(hào),再經(jīng)A/D轉(zhuǎn)換器變成數(shù)字量;如果需要輸出模擬控制量的話,就要進(jìn)行上述過程的逆轉(zhuǎn)換數(shù)據(jù)傳輸方向:CPU I/O接口外設(shè)控制信息控制信息:控制外設(shè)工作的命令,CPU通過接口發(fā)出,如A/D轉(zhuǎn)換器的啟/停信號(hào)數(shù)據(jù)傳輸方向:CPUI/O接口處設(shè)狀態(tài)信息狀態(tài)信息:表征外設(shè)工作狀態(tài)的信息對(duì)輸入接口, CPU是否準(zhǔn)備好接收數(shù)據(jù),READY” 準(zhǔn)備好嗎?對(duì)輸出接口, 外設(shè)是否準(zhǔn)備好接收數(shù)據(jù),“BU

3、SY” 外設(shè)忙嗎?數(shù)據(jù)傳輸方向:CPUI/O接口外設(shè)I/O端口傳送這三種信息的接口電路中的寄存器稱為數(shù)據(jù)、狀態(tài)和控制端口不同的寄存器有不同的端口地址,即用地址訪問端口由一個(gè)或多個(gè)寄存器組成接口由若干個(gè)端口加上相應(yīng)的控制邏輯組成 接口的基本功能數(shù)據(jù)緩沖功能設(shè)備選擇功能信號(hào)轉(zhuǎn)換功能接收、解釋并執(zhí)行CPU命令的功能中斷管理功能可編程功能接口電路的結(jié)構(gòu) 數(shù)據(jù)緩沖器狀態(tài)寄存器控制寄存器接外設(shè)一側(cè) 主機(jī)外設(shè) 總線驅(qū)動(dòng)地址譯碼控制邏輯接CPU一側(cè)DBABCB數(shù)據(jù)信息控制信息狀態(tài)信息端口接口實(shí)現(xiàn)對(duì)CPU數(shù)據(jù)總線速度和驅(qū)動(dòng)能力的匹配實(shí)現(xiàn)各寄存器端口尋址操作 實(shí)現(xiàn)接口電路中的各寄存器端口的讀/寫操作和時(shí)序控制接口

4、電路的分類數(shù)據(jù)傳送方式:并行接口串行接口功能選擇的靈活性:可編程接口不可編程接口數(shù)據(jù)傳送操作的同步方式:同步接口異步接口通用性:通用接口專用接口6.2 I/O端口的編址方式為了區(qū)分接口電路的各個(gè)寄存器,系統(tǒng)為它們各自分配了一個(gè)地址,稱為I/O端口地址,以便對(duì)它們進(jìn)行尋址并與存儲(chǔ)器地址相區(qū)別I/O端口有兩種編址方式:存儲(chǔ)器映像方式、I/O獨(dú)立編址方式 1、存儲(chǔ)器映像編址指I/O端口與存儲(chǔ)器共享一個(gè)尋址空間,又稱為統(tǒng)一編址。在這種系統(tǒng)中,CPU可以用同樣的指令對(duì)I/O端口和存儲(chǔ)器單元的進(jìn)行訪問。優(yōu)點(diǎn):對(duì)I/O口的訪問靈活方便,有利于提高端口數(shù)據(jù)的處理能力。缺點(diǎn):I/O端口占用了主存地址,相對(duì)減少了

5、主存的可用范圍。2、I/O獨(dú)立編址(一)指主存地址空間和I/O端口地址空間相互獨(dú)立,分別編址。CPU通過指令來區(qū)分是訪問I/O口還是存儲(chǔ)單元優(yōu)點(diǎn):主存和I/O端口的地址可用范圍都比較大;缺點(diǎn):I/O指令的功能一般比較弱,在I/O操作中必須借助CPU的寄存器進(jìn)行中轉(zhuǎn)2、I/O獨(dú)立編址(二)80X86系列微處理器采用獨(dú)立的I/O編址方式CPU使用地址總線中的A0A15來尋址I/O口,故最大I/O空間是64K個(gè)字節(jié)端口(或32K個(gè)字端口)兩種編址方式比較(一)I/O空間內(nèi)存空間I/O空間內(nèi)存空間分別是分離編址?還統(tǒng)一編址?兩種編址方式比較(二)存儲(chǔ)器CPUABDBCB接口外設(shè)接口外設(shè)CPUABDBC

6、B存儲(chǔ)器存儲(chǔ)器讀寫I/O讀寫6.3 I/O接口的訪問控制微機(jī)系統(tǒng)的每個(gè)端口都有惟一的端口地址端口地址:經(jīng)譯碼電路譯碼后產(chǎn)生端口選通信號(hào),控制端口的讀/寫操作 I/O端口的地址分配 80X86系列微處理器提供16條地址線訪問I/O端口,編址可達(dá)64K個(gè)字節(jié)端口或者32K個(gè)字端口。IBM系列采用非完全譯碼方式,即只考慮了低10位地址線A0-A9,I/O端口地址范圍是0000H-03FFH,總共只有1024個(gè)字節(jié)端口 80386微處理器或此后的微處理器構(gòu)成的微機(jī)系統(tǒng),采用全譯碼的方法,端口為64K個(gè)字節(jié)端口或32K個(gè)字端口 I/O端口地址選用的原則自行設(shè)計(jì)接口電路或給微機(jī)系統(tǒng)添加接口卡時(shí),必須避免端

7、口地址發(fā)生沖突 申明保留的地址,不要使用用戶可使用300H-31FH地址I/O端口的地址譯碼將來自地址總線上的地址代碼翻譯成為所需要訪問端口的選通信號(hào)I/O端口地址譯碼電路結(jié)構(gòu) 譯碼電路的輸入信號(hào)地址信號(hào):由地址范圍決定 控制信號(hào):數(shù)據(jù)流向(讀/寫)、數(shù)據(jù)寬度(8位/16位)、是否采用奇/偶地址和DMA傳送方式 譯碼電路的輸出信號(hào):接口芯片的片選信號(hào) 端口的讀寫控制:輸入需要緩沖, 輸出需要鎖存 輸入緩沖電路 當(dāng)讀有效時(shí),才將緩沖器中的三態(tài)門打開,使外設(shè)的數(shù)據(jù)進(jìn)入系統(tǒng)的數(shù)據(jù)總線其它時(shí)間,三態(tài)門處于高阻狀態(tài),不影響總線上的其它操作 微處理器系統(tǒng)外部輸入設(shè)備A0 B0A1 B1A2 B2A3 B3

8、A4 B4A5 B5A6 B6A7 B7EDIR234567891817161514131211119譯碼片選IORDIR=1,ABDIR=0,BA74LS245輸出鎖存 選中鎖存器時(shí),總線上的數(shù)據(jù)才能進(jìn)入鎖存器此后不管總線上的數(shù)據(jù)(鎖存器輸入端)如何變化,只要沒有再次使鎖存器的信號(hào)有效,鎖存器的輸出端就一直保持原來鎖存的信息不變。 微處理器系統(tǒng)外部輸出設(shè)備D0 Q0D1 Q1D2 Q2D3 Q3D4 Q4D5 Q5D6 Q6D7 Q7CLKCLR34781314171825691215161974LS27311譯碼片選IOW74LS32+5V1I/O地址譯碼方法 地址譯碼的方法靈活多樣 高位

9、地址線與CPU的控制信號(hào)進(jìn)行組合,經(jīng)譯碼電路產(chǎn)生I/O接口芯片的片選信號(hào)CS,實(shí)現(xiàn)系統(tǒng)中的接口芯片尋址 低位地址線直接接到I/O接口芯片的地址引腳,進(jìn)行I/O接口芯片的片內(nèi)端口尋址 I/O端口地址譯碼電路設(shè)計(jì) 譯碼電路的形式固定式譯碼:接口中用到的端口地址不能更改 可選式譯碼:譯碼電路采用的元器件來分門電路譯碼譯碼器譯碼可編程邏輯器件譯碼讀077AH端口地址譯碼電路端口地址的二進(jìn)制形式A15 A14 A1 A00000 0111 0111 1010端口讀:IOR,AEN譯碼輸出:/R77AH執(zhí)行MOV DX,77AHINAL,DX 74LS32&A10A9A874LS30A6A5A4AENA1

10、A3A14A13&A15A12A7A2&A11A0174LS2074LS04111R77AHIOR讀/寫操作77AH端口地址的譯碼電路 IOWW77AH&A10A9A874LS30A6A5A4AENA1A3A14A13&A15A12A7A2&A11A0174LS2074LS04IOR74LS32111R77AH1譯碼器譯碼A15A8為譯碼器使能A7A5決定芯片端口范圍A4A0選擇芯片片內(nèi)端口2AGGDMACSAA5BA6CA71AEN0YINTRCS1YT/CCS2YPPICS3Y4Y5Y6Y7Y2BGA10A9&A11A8A14A13&A15A1274LS2074LS13874LS06可選式

11、端口地址譯碼器 通過開關(guān)使接口卡的I/O端口地址根據(jù)需要加以改變而無需改動(dòng)硬件電路,能適應(yīng)不同的地址分配場合 其電路可由地址開關(guān)、譯碼器、比較器和基本門電路等組成 用比較器組成的可選式譯碼電路 A9A6=開關(guān)狀態(tài)時(shí),比較器才輸出高電平,譯碼器才能工作通過設(shè)置不同的開關(guān)狀態(tài),就可以選擇不同的地址范圍2AGGBC11Y2Y3Y4Y5Y6Y7Y2BGA12A31A0Y74LS13874LS08&AENA4A5A15A14A13A11A1011174LS32CCA6A7A8A9VA0A1A2IA=B74LS85A3B0B1B2B3IABIABOABOA=BDIPS0S1S2S3VCC可編程邏輯器件作譯

12、碼電路 可編程邏輯器件包括GAL、CPLD和FPGA通常一片GAL器件的電路規(guī)??蛇_(dá)幾百門,而FPGA可達(dá)幾百萬門這些器件可以被多次修改和反復(fù)使用只需要修改軟件,就可以改變譯碼電路 6.4 I/O接口的數(shù)據(jù)傳輸控制方式 外設(shè)的速度與CPU相比要慢好幾個(gè)數(shù)量級(jí),且不同外設(shè)之間的速度也相差很大,為了保證數(shù)據(jù)傳輸?shù)目煽啃?,CPU一定要等外設(shè)準(zhǔn)備就緒之后才能執(zhí)行輸入/輸出操作,而外設(shè)就緒的時(shí)刻對(duì)CPU而言是隨機(jī)的,因此需要同步。三種I/O同步控制方式:程序控制方式:無條件程序控制和程序查詢中斷控制方式直接存儲(chǔ)器存取方式,DMA方式無條件程序控制方式(一)最簡單的I/O控制方式,CPU可以隨時(shí)根據(jù)需要無

13、條件地讀寫I/O端口外設(shè)要求:簡單,數(shù)據(jù)變化緩慢,操作時(shí)間固定,如一組開關(guān)或LED顯示管。外設(shè)被認(rèn)為始終處于就緒狀態(tài)接口特點(diǎn)CPU的DBI/O接口(輸出鎖存器)外設(shè)CPU的DBI/O接口(輸入緩沖器)外設(shè)無條件程序控制方式(二)數(shù)據(jù)輸入緩沖器端口數(shù)據(jù)輸出鎖存器端口輸入數(shù)據(jù)輸出數(shù)據(jù)端口譯碼器RDABDBWRM/IO無條件程序控制方式(三)例6.5 START:MOV DX,INPORTIN AL,DX;讀入按鍵狀態(tài)TEST AL,01H;判斷最低位按鍵 JNZ K1;最低位按鍵沒閉合,轉(zhuǎn)MOVAL,01H;最低位發(fā)光JMPDISPK1:TEST AL,02H;JNZ K2;次低位按鍵沒閉合,轉(zhuǎn)M

14、OVAL,03H;最低2位發(fā)光JMPDISP.DISP:MOV DX,OUTPORTOUT DX,AL;JMP START程序查詢輸入方式(條件傳送方式 )接口特點(diǎn):避免了對(duì)端口的“盲讀”、“盲寫” ,數(shù)據(jù)傳送的可靠性高,并且硬件接口相對(duì)簡單。缺點(diǎn)是CPU工作效率低,I/O響應(yīng)速度慢;外設(shè)要求:狀態(tài)口和數(shù)據(jù)口 在有多個(gè)外設(shè)的系統(tǒng)中,CPU的查詢順序由外設(shè)的優(yōu)先級(jí)確定一種CPU主動(dòng)、外設(shè)被動(dòng)的I/O操作方式,很好地解決了CPU與外設(shè)之間的同步問題查詢控制的程序流程 READY? 讀取狀態(tài)端口 讀/寫數(shù)據(jù)端口YN狀態(tài)端口復(fù)位程序查詢方式的輸入接口電路數(shù)據(jù)緩沖器(輸入端口)狀態(tài)緩沖器(輸入端口)輸入

15、設(shè)備數(shù)據(jù)端口讀選通狀態(tài)端口讀選通DB(數(shù)據(jù)、狀態(tài))鎖存器輸入數(shù)據(jù)輸入選通RQD5VREADYD0數(shù)據(jù)端口(8位)狀態(tài)端口(1位)READYREADY? 輸入狀態(tài)信息 輸入數(shù)據(jù)信息YN狀態(tài)信息占用數(shù)據(jù)線的D0位,查詢程序如下:QUERY:IN AL,S_PORT;狀態(tài)口地址SAR AL,1JNCQUERYINAL,D_PORT;D_PORT是數(shù)據(jù)口地址查詢輸入程序查詢方式的A/D采樣(例6.6) WAIT:IN AL,51H;讀狀態(tài)端口的值TESTAL,10H;判斷D4是否為1?JZ WAIT;不是1,等待IN AL,50H;讀數(shù)據(jù)端口的值MOVBUF,AL;將數(shù)據(jù)送到數(shù)據(jù)緩沖單元數(shù)據(jù)端口狀態(tài)端

16、口A/D轉(zhuǎn)換器定時(shí)器模擬信號(hào)譯碼電路啟動(dòng)信號(hào)狀態(tài)信號(hào)D4數(shù)據(jù)總線地址總線控制信號(hào)50H51H程序查詢輸出方式輸出選通數(shù)據(jù)鎖存器(輸出端口)狀態(tài)緩沖器(輸入端口)輸出設(shè)備RQD5VDB(數(shù)據(jù)、狀態(tài))BUSY(1bit)ACK狀態(tài)端口讀選通數(shù)據(jù)端口寫選通數(shù)據(jù)端口(8位)狀態(tài)端口(1位)BUSY輸入狀態(tài)信息 BUSY? 輸出數(shù)據(jù)信息NYQUERY:INAL,S_PORT;狀態(tài)口地址 SARAL,1 JCQUERYOUT D_PORT,AL;D_PORT數(shù)據(jù)口地址查詢輸出程序查詢方式的打印機(jī)接口(例6.7) WAIT:IN 7AH,AL;讀狀態(tài)端口 TEST AL,04H;判斷D2是否為0? JNZ

17、WAIT;不是0,等待 MOV AL,BUF;取數(shù)據(jù) OUT 78H,AL;將數(shù)據(jù)送到打印機(jī)接口 數(shù)據(jù)端口狀態(tài)端口數(shù)據(jù)緩沖器狀態(tài)檢測打印機(jī)譯碼電路觸發(fā)信號(hào)狀態(tài)信號(hào)D2數(shù)據(jù)總線地址總線控制總線信號(hào)78H7AH接口電路多個(gè)外設(shè)的查詢CPU周期性地依次查詢每個(gè)外設(shè)的狀態(tài)優(yōu)先權(quán)決定了查詢次序不具有實(shí)時(shí)性例:查詢式8路模擬數(shù)據(jù)采集系統(tǒng)D0 D1 D2324A/D轉(zhuǎn)換器DB多路開關(guān)數(shù)據(jù)端口讀選通1路模擬量狀態(tài)端口讀選通控制端口讀選通READYSTARTD0CPU8路模擬量輸入I0I7D3D7 D0D3 D0DATA中斷控制方式接口特點(diǎn):避免了CPU 反復(fù)低效率的查詢,適用于CPU任務(wù)繁忙、而數(shù)據(jù)傳送不太頻

18、繁的系統(tǒng)中。缺點(diǎn)是硬件電路和處理過程都比較復(fù)雜;(中斷控制芯片)CPU被動(dòng)而外設(shè)主動(dòng)的I/O操作方式,較大地提高了CPU的工作效率,并使系統(tǒng)具有了實(shí)時(shí)處理功能中斷控制方式中斷請求觸發(fā)器QRD數(shù)據(jù)緩沖端口譯碼端口譯碼控制端口中斷屏蔽觸發(fā)器QD+5VWR外設(shè)READYDBABDBINTRINTA中斷可被響應(yīng)的條件:中斷請求觸發(fā)器置位;中斷屏蔽觸發(fā)器清零;CPU內(nèi)部開放中斷;CPU未處理更高級(jí)中斷;CPU現(xiàn)行指令執(zhí)行完中斷工作過程外設(shè)需要CPU服務(wù)時(shí)外設(shè)I/O接口向CPU發(fā)中斷請求,INTR=H(中斷請求有效)CPU執(zhí)行完當(dāng)前指令后, (注: 若IF = 1)CPU I/O接口 外設(shè)發(fā)中斷響應(yīng),/I

19、NTA = LCPU執(zhí)行中斷服務(wù)程序, CPUI/O接口外設(shè)讀寫數(shù)據(jù)DMA控制方式(存儲(chǔ)器直接存取)內(nèi)存與外設(shè)間有大量數(shù)據(jù)交換時(shí),采用中斷方式,每傳送一次數(shù)據(jù),就必須經(jīng)歷中斷處理的全部步驟,而且一般需要借助CPU內(nèi)部的寄存器作為中介DMA方式:不用CPU的寄存器作傳數(shù)中介, 完成存儲(chǔ)器和外設(shè)間的直接傳數(shù),CPU必須將系統(tǒng)總線的控制權(quán)讓給DMAC DMA方式原理方框圖 DB HOLD CPU HLDA AB DMAC MEM I/O請求響應(yīng)DMA的工作流程 DMAC發(fā)存儲(chǔ)器地址在總線上傳送數(shù)據(jù)傳送結(jié)束?修改地址指針DMA結(jié)束,交還總線權(quán)CPU允許釋放總線,向DMAC發(fā)出總線應(yīng)答信號(hào)HLDADMA

20、C向CPU發(fā)總線申請HOLDYN6.5 基本輸入輸出接口 鍵盤/鼠標(biāo)接口 數(shù)碼管顯示接口 并行打印機(jī)接口 鍵盤接口 線性鍵盤:按鍵并聯(lián)方式與I/0端口連接每一個(gè)按鍵需要占用I/O端口的一根口線 由程序處理按鍵的操作情況矩陣鍵盤:按鍵按照行、列方式排列 比線性鍵盤節(jié)約I/0口線 非編碼鍵盤:CPU處理按鍵的操作,減低了CPU的效率 編碼鍵盤 :專用的單片機(jī)處理按鍵操作,緩減了CPU的負(fù)擔(dān) 矩陣鍵盤結(jié)構(gòu) 8位并行輸入端口8位并行輸出端口+5V10k10k10k10k第0行第1行第2行第7行第0列第1列第2列第3列第7列行掃描法(一) 第一步:判斷是否有鍵按下 輸出端口的各位都為低電平,即各列都為0

21、 讀取輸入端口數(shù)據(jù),如果輸入不等于FFH,則有鍵被按下 MOVAL,00H;MOVDX,OUTPORT;OUTDX,ALMOVDX,INPORT;IN AL,DX;CMPAL,0FFH行掃描法(二)第二步:確定按鍵號(hào)確定按鍵的列數(shù):輸出掃描值,使某一列為低電平,其它列為高電平;讀取行值,看是否有行線處于低電平 確定按鍵的行數(shù):循環(huán)右移行值,直到為0 行掃描法程序流程 是否有一行接地?鍵盤命令處理是進(jìn)位位為0?否掃描值循環(huán)左移一位,使下一列為低電平鍵號(hào)+8,計(jì)數(shù)值-1否讀進(jìn)行值行值循環(huán)右移一位是結(jié)束是全部掃描完?否設(shè)鍵號(hào)=0,計(jì)數(shù)器=列數(shù)行掃描初值=11111110B輸出掃描值,使某一行為低電平

22、MOVBL,0MOVDL,8MOVCL,0FEHMOV AL,CLOUT OUTPORT,AL IN AL,INPORTCMPAL,0FFH ROLAL,1MOVCL,AL RCR AL,1JNC PROCINC BL鍵值+1微機(jī)鍵盤接口 最早的鍵盤接口是用8255實(shí)現(xiàn)的,而鼠標(biāo)則通過RS-232串口實(shí)現(xiàn)目前微機(jī)系統(tǒng)的鍵盤/鼠標(biāo)是PS/2接口。微機(jī)鍵盤單片機(jī)自動(dòng)地識(shí)別鍵的按下與釋放,自動(dòng)生成相應(yīng)的掃描碼并以串行方式發(fā)送掃描碼到主機(jī)主機(jī)根據(jù)掃描碼轉(zhuǎn)換為反映鍵功能的ASCII碼鍵盤/鼠標(biāo)接口引腳定義 通過數(shù)據(jù)線DATA和時(shí)鐘線CLK兩根線,就可以實(shí)現(xiàn)主機(jī)和PS/2設(shè)備之間的通信PS/2鍵盤接口時(shí)序

23、 (a)是鍵盤向主機(jī)發(fā)送數(shù)據(jù)時(shí)的PS/2接口時(shí)序圖 (b)是主機(jī)向PS/2鍵盤發(fā)送數(shù)據(jù)的時(shí)序圖CLKDATAD0D1D2D3D4D5D6D7PSE起始校驗(yàn)停止(a)(b)SDATAD0D1D2D3D4D5D6D7P起始校驗(yàn)停止主機(jī)CLK鍵盤鍵盤的BIOS調(diào)用 DOS的1H、6H、7H、8H、0AH、0BH、0CH功能接收單個(gè)字符或字符串,比INT 16H更方便 但I(xiàn)NT 16H一次可讀取一個(gè)字符的擴(kuò)充ASCII碼,而DOS功能調(diào)用需要兩次才能讀取1個(gè)字符的擴(kuò)充ASCII碼INT 16H功能調(diào)用 MOV AH,0H INT16HCMPAL,0H;AL如果為0,AH為鍵盤擴(kuò)充ASCII碼JE EX

24、CDJMPERREXCD:CMP AH,3BH JEOPT1 CMPAH,3CH JEOPT2 CMPAH,3DH JE OPT3ERR:OPT1:OPT2:OPT3:數(shù)碼管顯示接口 共陰結(jié)構(gòu) 陰極控制端為低電平時(shí),LED工作 輸入控制端為高電平時(shí),對(duì)應(yīng)的發(fā)光管亮 共陽結(jié)構(gòu) 陽極控制端為高電平時(shí),LED工作 輸入控制端為低電平時(shí),對(duì)應(yīng)的發(fā)光管亮 段選碼 顯示譯碼器七段顯示數(shù)碼管是由發(fā)光二極管按照一定的規(guī)則排列而成的bcfegad8421 BCD碼-七段顯示碼表98765432101101111100111111110001000011111101111101011011011011010110

25、0110001010011111100101101101000000110100001111110000gfedcbaDCBA七段數(shù)碼輸出(共陰極)BCD碼輸入靜態(tài)顯示 段(發(fā)光二極管)恒定地導(dǎo)通或截止,直到顯示另一個(gè)字符為止 各個(gè)數(shù)碼管的輸入控制端相互獨(dú)立,并與接口電路的輸出端口相連 占用I/O端口資源多:數(shù)碼管的個(gè)數(shù)為M時(shí),需要的接口口線數(shù)目為8M。所以顯示位數(shù)較多時(shí),需要采用動(dòng)態(tài)顯示方式 動(dòng)態(tài)顯示 所有數(shù)碼管共享輸入控制端需要的口線數(shù)8+數(shù)碼管的個(gè)數(shù)M工作原理:動(dòng)態(tài)地輪流點(diǎn)亮各個(gè)LED位選碼:選擇被點(diǎn)亮的LED段選碼:顯示什么字符通常通過查找表的方式實(shí)現(xiàn)動(dòng)態(tài)顯示例子DISDAT17141

26、81802TABLE3FH06H76H38H73H71H“H”“E”79H“L”“0”5BH“2”02171418DIMOV DI,OFFSET DISDATMOV AL,DI; AL=17LEA BX,TABLEBXXLAT ; AL=76H “H”并行輸出端口(帶鎖存功能)并行輸出端口(帶鎖存功能)D0D7D7D6D5D4D3D2D1D0D5D4D3D2D1D0位0位1位2位3位4位5D0D7段選位選圖6.30 6個(gè)數(shù)碼管的顯示接口電路OUT SEGPORT,AL ; AL=76H “H”MOV AL,0DFH ; CL=1101,111176HOUT BITPORT,AL ; AL=CL=1101,11110 1 1 1 1 1INC DIMOV AL,DI ; AL=79HH79H1 0 1 1 1 1EOUT SEGPORT,AL ; AL=79H “E”ROR CL ; CL=1110,1111OUT BITPORT,AL ; AL=CL=1110,1111并行打印機(jī)接口 打印機(jī)提供了與微機(jī)系統(tǒng)相連的36芯Centronics連接器 微機(jī)上的并口采用的是DB25 最主要的信號(hào):8根數(shù)據(jù)線,2根握手聯(lián)絡(luò)信號(hào)線/STROB和/ACK、1根狀態(tài)信號(hào)線BUSY Centronics標(biāo)準(zhǔn)時(shí)序圖 BUSY數(shù)據(jù)有效DATA數(shù)據(jù)有效ACK5sSTROB5s并行打印機(jī)接口框圖 D0

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論