接口技術模擬接口_第1頁
接口技術模擬接口_第2頁
接口技術模擬接口_第3頁
接口技術模擬接口_第4頁
接口技術模擬接口_第5頁
已閱讀5頁,還剩80頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、接口技術模擬接口第1頁,共85頁,2022年,5月20日,15點1分,星期三當計算機用于數(shù)據(jù)采集和過程控制的時候,采集對象往往是連續(xù)變化的物理量(如溫度、壓力、聲波等),但計算機處理的是離散的數(shù)字量,因此需要對連接變化的物理量(模擬量)進行采樣、保持,再把模擬量轉換為數(shù)字量交給計算機處理、保存等。計算機輸出的數(shù)字量有時需要轉換為模擬量去控制某些執(zhí)行元件(如聲卡播放音樂等)。A/D轉換器ADC完成模擬量數(shù)字量的轉換,D/A轉換器DAC完成數(shù)字量模擬量的轉換。信號及處理第2頁,共85頁,2022年,5月20日,15點1分,星期三模擬輸入輸出系統(tǒng)第3頁,共85頁,2022年,5月20日,15點1分,

2、星期三1) 傳感器傳感器是用于將工業(yè)生產現(xiàn)場的某些非電物理量轉換為電量(電流、電壓)的器件。例如,熱電偶能夠將溫度這個物理量轉換成幾毫伏或幾十毫伏的電壓信號,所以可用它作為溫度傳感器;而壓力傳感器可以把物理量壓力的變化轉換為電信號,等等。2) 變送器一般來講,傳感器輸出的電信號都比較微弱,有些傳感器的輸出甚至是電阻值、電容值等非電量。為了易于與信號處理環(huán)節(jié)銜接,就需要將這些微弱電信號及電阻值等非電量轉換成一種統(tǒng)一的電信號,變送器就是實現(xiàn)這一功能的器件。它將傳感器的輸出信號轉換成010 mA或420 mA的統(tǒng)一電流信號或者05 V的電壓信號3) 信號處理環(huán)節(jié)信號處理環(huán)節(jié)主要包括信號的放大及干擾信

3、號的去除。它將變送器輸出的信號進行放大或處理成與A/D(Analog to Digital)轉換器所要求的輸入相適應的電平。另外,傳感器通常都安裝在現(xiàn)場,環(huán)境比較惡劣,其輸出常疊加有高頻干擾信號。因此,信號處理環(huán)節(jié)通常是低通濾波電路,如RC濾波器或由運算放大器構成的有源濾波電路等。第4頁,共85頁,2022年,5月20日,15點1分,星期三4) 多路轉換開關在生產過程中,要監(jiān)測或控制的模擬量往往不止一個,尤其是數(shù)據(jù)采集系統(tǒng)中,需要采集的模擬量一般比較多,而且不少模擬量是緩慢變化的信號。對這類模擬信號的采集,可采用多路模擬開關,使多個模擬信號共用一個A/D轉換器進行采樣和轉換,以降低成本。 5)

4、 采樣保持電路在數(shù)據(jù)采樣期間,保持輸入信號不變的電路稱為采樣保持電路。由于輸入模擬信號是連續(xù)變化的,而A/D轉換器完成一次轉換需要一定的時間,這段時間稱為轉換時間。不同的A/D轉換芯片,其轉換時間不同。對于變化較快的模擬輸入信號,如果不在轉換期間保持輸入信號不變,就可能引起轉換誤差。A/D轉換芯片的轉換時間越長,對同樣頻率模擬信號的轉換精度的影響就越大。所以,在A/D轉換器前面要增加一級采樣保持電路,以保證在轉換過程中,輸入信號保持在其采樣期間的值不變。6) 模數(shù)轉換器A/D這是模擬量輸入通道的中心環(huán)節(jié),它的作用是將輸入的模擬信號轉換成計算機能夠識別的數(shù)字信號,以便計算機進行分析和處理。第5頁

5、,共85頁,2022年,5月20日,15點1分,星期三模擬量的輸出通道 計算機的輸出信號是數(shù)字信號,而有些控制執(zhí)行元件要求提供模擬的輸入電流或電壓信號,這就需要將計算機輸出的數(shù)字量轉換為模擬量,這個過程的實現(xiàn)由模擬量的輸出通道來完成。輸出通道的核心部件是D/A(Digital to Analog)轉換器,由于將數(shù)字量轉換為模擬量同樣需要一定的轉換時間,也就要求在整個轉換過程中待轉換的數(shù)字量要保持不變。而計算機的運行速度很快,其輸出的數(shù)據(jù)在數(shù)據(jù)總線上穩(wěn)定的時間很短,因此,在計算機與D/A轉換器之間必須加一級鎖存器以保持數(shù)字量的穩(wěn)定。D/A轉換器的輸出端一般還要加上低通濾波器,以平滑輸出波形。另外

6、,為了能夠驅動執(zhí)行器件,還需要設置驅動放大電路將輸出的小功率模擬量加以放大,以足夠驅動執(zhí)行元件動作。第6頁,共85頁,2022年,5月20日,15點1分,星期三12.1 數(shù)/模(D/A)轉換 D/A轉換器完成數(shù)字量 模擬量的轉換,這在計算機和虛擬信號發(fā)生器中應用非常普遍。一、D/A轉換原理 數(shù)/模轉換器的核心器件是“解碼網絡”常用的解碼網絡有權電阻解碼網絡、T型解碼網絡、開關樹型DAC、雙極性輸出DAC等第7頁,共85頁,2022年,5月20日,15點1分,星期三求和元件RRRR2R2R2R2R2R節(jié)點7節(jié)點6節(jié)點5節(jié)點0S7S6S5S6圖10-1 T型解碼網絡原理圖原理:其中Di=0或1(i

7、=0,1,2,n-1)。第8頁,共85頁,2022年,5月20日,15點1分,星期三圖中Vref為參考電壓,S7、S6SO為8個電子開關,受計算機輸出的二進制數(shù)據(jù)控制其導通/關斷。解碼網絡相鄰兩節(jié)點之間的電阻都為R,但節(jié)點7與運算放大器之間,節(jié)點0與地之間的電阻為2R,各支路電阻為2R。不論電子開關導通/關斷,從任一節(jié)點向左、向右看(不包含支路電阻)的等效電阻都是2R。 用疊加原理分析輸入到運算放大器的總電流:依次假設S7S0中只有一個電子開關接通Vref,其他電子開關接地,可求出總電流為:總電流=D7I2-1+D6I2-2+D0I2-8 =I2-8(D727+D626 +D020) =N2-

8、8(Verf/3R) 由于Verf和3R均為固定值總電流只與輸入的二進制數(shù)N有關,所以通過解碼網絡可得到一個與輸入數(shù)字量成比例關系的電流。第9頁,共85頁,2022年,5月20日,15點1分,星期三 集成化的D/A轉換芯片按輸入二進制的位數(shù)常見的有8、12、16、20位D/A轉換器。二、D/A轉換器特性及連接 D/A轉換器一般是根據(jù)自己的需要選擇相應數(shù)據(jù)位寬度和速度的D/A轉換芯片,在選擇D/A轉換器芯片時一般考慮如下指標:(1)分辨率: 指D/A轉換器能分辨的最小電壓增量,或1個二進制增量所代表的模擬量大小。 分辨率的表示式為: 分辨率=Vref/2位數(shù) 或 分辨率=(V+ref+V-ref

9、)/2位數(shù) 若Vref=5V,8位的D/A轉換器分辨率為5/256=20mV。第10頁,共85頁,2022年,5月20日,15點1分,星期三(2)轉換時間: 指數(shù)字量輸入到模擬量輸出達到穩(wěn)定所需的時間。一般電流型D/A轉換器在幾秒到幾百微秒之內;而電壓型D/A轉換器轉換較慢,取決于運算放大器的響應時間。(3)精度: 指D/A轉換器實際輸出與理論值之間的誤差,一般采用數(shù)字量的最低有效位作為衡量單位(如1/2LSB)。如D/A分辨率為20mV,則精度為10mV.(4)線性度: 當數(shù)字量變化時,D/A轉換器輸出的模擬量按比例變化的程度。第11頁,共85頁,2022年,5月20日,15點1分,星期三

10、DAC(數(shù)字模擬變換集成電路)是系統(tǒng)或設備中的一個功能器件,當將它接入系統(tǒng)時,不同的應用場合對其輸入輸出有不同的要求,一般考慮以下幾方面:(1)輸入緩沖能力: DAC的輸入緩沖能力是非常重要的,具有緩沖能力(數(shù)據(jù)寄存器)的DAC芯片可直接與CPU或系統(tǒng)總線相連,否則必須添加鎖存器。(2)輸入碼制: DAC輸入有二進制BCD碼兩種,對于單極性DAC可接收二進制和BCD碼;雙極性DAC接收偏移二進制或補碼。第12頁,共85頁,2022年,5月20日,15點1分,星期三(3)輸出類型: DAC輸出有電流型和電壓型兩種,用戶可根據(jù)需要選擇,也可進行電流電壓轉換。(4)輸出極性: DC有單極性和雙極性兩

11、種,如果要求輸出有正負變化,則必須使用雙極性DAC芯片。三、DAC典型連接 DAC芯片與CPU或系統(tǒng)總路線連接時,可從數(shù)據(jù)總線寬度是否與DAC位數(shù)據(jù)匹配、DAC是否具有數(shù)據(jù)寄存器兩個方面來慮,一般有下面幾種情況:(1)當DAC位數(shù)與數(shù)據(jù)總線寬度相同,具有數(shù)據(jù)緩沖能力時,可直接與CPU連接。第13頁,共85頁,2022年,5月20日,15點1分,星期三(2)當DAC位數(shù)與數(shù)據(jù)總線寬度相同,DAC沒有數(shù)據(jù)寄存器時,必須外加鎖存器或I/O接口芯片(如8255A等)才能與CPU連接。 當DAC位數(shù)大于數(shù)據(jù)總線寬度,DAC無論有無數(shù)據(jù)寄存器時,都必須外加鎖存器或I/O接口芯片才能與CPU相連接。1.8位

12、DAC連接 DAC0832是一片典型的8位DAC芯片,其引腳和內部結構如圖10-2所示。 2019181716151413121112345678910VCCILEWR2XFERDI4DI5DI6DI7IOUT1IOUT2CSWR1AGNDDI3DI2DI1DI0VREFRFBDGND第14頁,共85頁,2022年,5月20日,15點1分,星期三8位輸入寄存器8位DAC寄存器8位D/A轉換器DI7DI10ILELE1LE2CSWR1WR2XFERVREFIOUT2IOUT1RFBAGND(模擬地 )圖10-2 DAC0832引腳及內部結構第15頁,共85頁,2022年,5月20日,15點1分,

13、星期三CS片選信號輸入端,低電平有效。ILE數(shù)據(jù)鎖存允許信號輸入端,高電平有效。WR1輸入鎖存器寫選通信號,低電平有效。它作為第一級鎖存信號將輸入數(shù)據(jù)鎖存到輸入鎖存器中。WR1必須在CS和ILE均有效時才能起操控作用。WR2DAC寄存器寫選通信號,低電平有效。它將鎖存在輸入鎖存器中可用的8位數(shù)據(jù)送到DAC寄存器中進行鎖存。此時,傳送控制信號XFER必須有效。XFER傳送控制信號,低電平有效。當XFER為低電平時,將允許。D0-D78位數(shù)據(jù)輸入端,D7為最高位。第16頁,共85頁,2022年,5月20日,15點1分,星期三IOUT1、IOUT2模擬電流輸出端,轉換結果以一組差動電流(IOUT1,

14、IOUT2)輸出。當DAC寄存器中的數(shù)字碼全為“l(fā)”時,IOUT1最大;全為“0”時,IOUT1為零。IOUT1+IOUT2=常數(shù),IOUT1、IOUT2隨DAC寄存器的內容線性變化。RFB反饋電阻引出端,DAC0830內部已有反饋電阻,所以 RFB端可以直接接到外部運算放大器的輸出端,這樣,相當于將一個反饋電阻接在運算放大器的輸入端和輸出端之間。VCC電源電壓輸入端,范圍為+5+15 V,以+15 V時工作為最佳。VREF參考電壓輸入端,此端可接一個正電壓,也可接負電壓。范圍為10+10 V。外部標準電壓通過VREF與T型電阻網絡相連。此電壓越穩(wěn)定,模擬輸出精度就越高。AGND模擬地。DGN

15、D數(shù)字地。第17頁,共85頁,2022年,5月20日,15點1分,星期三D7D0IOWA9A0AEN系統(tǒng)總線DI07WR1ILECSDAC0832譯碼器WR2XFERDGNDVerfRfbI01I02AGND+-AR+5VVout 圖10-3 DAC0832單緩沖方式連接+5V200H第18頁,共85頁,2022年,5月20日,15點1分,星期三DAC0832有三種工作方式:(1)雙緩沖方式(2)單緩沖方式(3)直通方式 注:在DAC實際連接中,要注意區(qū)分“模擬地”和“數(shù)字地”的連接,為了避免信號串擾,數(shù)字量部分只能連接到數(shù)字地,而模所量部分只能連接到模擬地。 采用單緩沖方式連接如圖10-3所

16、示。 利用DAC可實現(xiàn)任意波形(如鋸齒波、三角波、正弦波等)的輸出,如輸出鋸齒波、三角波的程序段如下: TRG: MOV DX,200H MOV AL,0H TN1: OUT DX,AL INC AL第19頁,共85頁,2022年,5月20日,15點1分,星期三 JNZ TN1 MOV AL,0FFHTN2: OUT DX,AL DEC AL JNZ TN1 輸出鋸齒波程序段如下:TRG: MOV DX,200H MOV AL,0HTN: OUT DX,AL INC AL JMP TN 第20頁,共85頁,2022年,5月20日,15點1分,星期三 利用圖所示的DAC0832單緩沖方式連接圖,

17、也可輸出正弦波,由于DAC0832是一個單極性輸出DAC芯片,因此在正弦波輸出中應將0V平移到128數(shù)值上,其C語言控制程序如下:#include#includeMain() unsigned char V0=128,VOUT; int i;Next: i=0; while(iVo,比較器輸出1,則時鐘脈沖通過門而使計數(shù)器計數(shù)一次,此時計數(shù)值再轉換成電壓由DA輸出。然后Vo又和Vi比較,若ViV0,則時鐘脈沖又通過門使計數(shù)器又計數(shù)_次,此過程一直繼續(xù)到DAC輸出電壓V。等于輸入電壓V;為止。這時比較器輸出相等信號,關閉計數(shù)門,同時送到控制邏輯,使其發(fā)出轉換結束信號EOC,這時二進制計數(shù)器的值就

18、是轉換結果。由于此方法是DAC產生一個增長的斜坡電壓,去和輸入電壓不斷比較,故而又叫斜坡法 。第36頁,共85頁,2022年,5月20日,15點1分,星期三2.雙積分型A/D轉換器 雙積分型A/D轉換器是將輸入電壓變換成與平均值成正比的時間間隔,然后利用計數(shù)器測量時間間隔,如圖10-8所示。 Vin+VR-VR+-+-控制邏輯計數(shù)器/鎖存器譯碼器/顯示器時鐘發(fā)生器K4K1K2K3COMPoutC積分器比較器R圖10-8 雙積分型A/D轉換器框圖第37頁,共85頁,2022年,5月20日,15點1分,星期三 雙積分型A/D轉換器完成一次模一數(shù)轉換需要三個階段:積分(采樣:K1導通)、反積分(比較

19、:K3導通)和結束階段(K4導通)。雙積器對正極性電壓輸出波形如圖10-9所示。t1t2t3t4t1t2t3t4T1T2T3V2V10-V1+V2圖10-9 雙積分型A/D轉換器輸出波形第38頁,共85頁,2022年,5月20日,15點1分,星期三 通過輸出波形可求出:Vin=VR/NmNX,式中: VR參考電壓,Nm參考電壓計數(shù)值, NX輸入電壓計數(shù)值??梢姡p積分型A/D轉換器輸出與時間常數(shù)RC無關,消除了斜坡電壓的各種誤差,由于經過兩次積分可消除干擾對轉換結果的影響。3.逐次逼近式A/D轉換器 逐次逼近式A/D轉換器原理如圖10-10所示,當轉換器接收到啟動信號后,逐次逼近寄存器清0,通

20、過內部D/A轉換器輸出使輸出電壓V0為0,啟動信號結束后開始A/D轉換。第39頁,共85頁,2022年,5月20日,15點1分,星期三8位D/A轉換器逐次逼近寄存器緩沖寄存器控制電路D7D0CLK啟動信號轉換結束比較器:ViV0輸出為“1” ViV0輸出為“0”Vi輸出模擬電壓V0+-圖10-10 逐次逼近式A/D轉換器第40頁,共85頁,2022年,5月20日,15點1分,星期三(1)分辨率:指A/D轉換器可轉換成數(shù)字量的最小電壓(量化階梯),如8為ADC滿量程為5V,則分辨率為5000mV/256=20mV,也就是說當模擬電壓小于20mV,ADC就不能轉換了,所以分辨率一般表示式為: 分辨

21、率=Vref/2位數(shù)(單極性)或分辨率=(V+ref-V-ref)/2位數(shù)(雙極性)(2)轉換時間:指從輸入啟動轉換信號到轉換結束,得到穩(wěn)定的數(shù)字量輸出的時間。一般轉換速度越好(特別是動態(tài)信號采集),常見有超高速(轉換時間1ns)、高速(轉換時間1s)、中速(轉換時間1ms)和低速(轉換時間1MHz),一般數(shù)據(jù)傳送方式不能達到數(shù)據(jù)傳送要求,導致數(shù)據(jù)丟失。2.ADC連接實例(1)8位ADC連接與編程 ADC0809是逐次逼近式的8位ADC芯片,引腳和內部結構圖10-11(a)(b)所示。2827262524232221201912345678910IN2IN1IN0ADDAADDBADDCALE

22、D7D6D5IN3IN4IN5IN6IN7STARTEOCD3OECLK1112131418171615D4D0VREF-D2VCCVREF+GNDD1ADC0809(a)第43頁,共85頁,2022年,5月20日,15點1分,星期三8路模擬開關地址鎖存譯碼電子開關逐次逼近寄存器控制與時序解碼網絡STARTCLK三態(tài)門VCCGNDVREF(+)VREF(-)OEEOCIN0IN7ADDCADDBADDAALE圖10-11 ADC0809引腳與內部結構第44頁,共85頁,2022年,5月20日,15點1分,星期三第45頁,共85頁,2022年,5月20日,15點1分,星期三 轉換由START為高

23、電平來啟動(START對CLOCK可不同步),START的上升沿將SAR復位,真正轉換從START的下降沿開始。在START上升沿之后的2s再加8個時鐘周期內(不定),EOC狀態(tài)輸出信號將變低,以指示轉換操作正在進行中。EOC保持低電平直到轉換完成后再變成高電平。當OE被置為高電平時,三態(tài)門打開,數(shù)據(jù)鎖存器的內容輸出到數(shù)據(jù)總線上。 模擬輸入通道的選擇,可以相對于轉換開始獨立地進行,然而通常是把通道選擇和啟動轉換結合起來完成,這樣可以用一條寫指令完成選擇模擬通道和啟動轉換。 如用EOC信號去產生中斷申請,要特別注意EOC的變低相對于啟動信號有2 ps和8個時鐘周期的延遲,要設法使它不致產生虛假的

24、中斷申請。第46頁,共85頁,2022年,5月20日,15點1分,星期三 START是ADC0809的A/D轉換啟動信號,高電平時內部逐次逼近寄存器清0,由10變化時開始A/D轉換,信號寬度100ns.CLK為時鐘信號,最大為600KHz.ADC0809設圖10-12所示電路的CS=220227H,采用中斷方式的采集程序如下:IN0IN1IN2IN3IN4IN5IN6IN7Q0Q1Q2Q31ACLKOEStartALEA0A1A2IRQ2EOC+5V+5V8MHzIORIOW220H227H圖10-12 ADC0809典型連接第47頁,共85頁,2022年,5月20日,15點1分,星期三第48

25、頁,共85頁,2022年,5月20日,15點1分,星期三 若把8個模擬量,輪流輸入至內存緩沖區(qū),程序如下: START:MOV DI,DATA ;設輸入緩沖區(qū)指針 MOV CX,08H ;通道數(shù)一CX MOV DX,PORTBASE ;口地址一BX STI ;開中斷 L1: OUT DX, AL ;啟動轉換 HLT、 ;停機,等待轉換結束 MOV DI AL ;轉換結果送緩沖區(qū) INC DI ;修改緩沖區(qū)指針 INC DX ;修改口地地 LOOP L1 ;判斷是否8個模擬量全輸入完否 : ;中斷處理程序: IN AL, DX ;輸入結果 STI ;開中斷 IRET ;返回第49頁,共85頁,2

26、022年,5月20日,15點1分,星期三include #include Void interrupt far adc-proc();Main() void (interrupt far*mode)(); disable();關中斷 mode=getvect(0 x0a); 取IRQ2中斷向量 setvect(0 x0a,adc-proc);設置中斷向量 Enable(); 開中斷 outportb(0 x220,00); 啟動ADC轉器,選擇IN0通道 while(!Kbhit() 等待鍵盤 setvect(0 x0a,mode); 有鍵按下時,恢復IRQ2中斷向量 第50頁,共85頁,20

27、22年,5月20日,15點1分,星期三void interrupt far adc-proc()中斷服務程序 unsigned char result;Disable(); 關中斷Result=inportb(0 x220); 取ADC轉換結果Printf(“DIGIAL=%d/n”,result); 顯示轉換數(shù)據(jù)Outportb(0 x220,00); 啟動下一次ADC轉換,選擇IN0通道Outprotb(0 x20,0 x20); 向8259送EOI信號Enable(); 開中斷 (2)12位ADC連接與編程 ADC574A是具有三態(tài)輸出鎖存器的12位逐次比較ADC芯片,轉換速度快(25u

28、s),是目前國內使用最廣泛的ADC芯片之一。ADC574A可并行輸出12位數(shù)據(jù),也可以分兩次輸出(先高8位,第51頁,共85頁,2022年,5月20日,15點1分,星期三后低4位)數(shù)據(jù);既可進行8位轉換,也可進行12位A/D轉換。 ADC574的引腳定義和控制信號工作時序如圖10-13所示。 2827262524232221201912345678910STSDB11DB10DB9DB8DB7DB6DB5DB4DB3+5V12/8CSA0R/CCE+15VREFOUTAGNDREFIN1112131418171615DB2DB1DB0DGND-15VBIP10VIN20VINADC574ACS

29、CER/CA0STSDB7DB0圖5-13 AD574A引腳定義和工作時序第52頁,共85頁,2022年,5月20日,15點1分,星期三 設圖10-14所示電路中譯碼器對A9A1進行譯碼,Y0=210H、D7D0譯碼器PC總線AENA9A0IOWIORADC574ADB11DB4DB3DB0STSCSA0R/CCE12/8VccVee10Vin20VinREFinREFoutBIPOFFDCACVin+15V-15V圖10-14 AD574通過并行接口芯片與系統(tǒng)總線相連D3D0第53頁,共85頁,2022年,5月20日,15點1分,星期三Y1=212H213H,因此ADC574A的CS=211

30、H213H分另用于高位和低位數(shù)據(jù)的讀取,采用查詢方式的數(shù)據(jù)采集程序如下:#include#includeMain() unsigned int DATA256; 采集數(shù)據(jù)存放數(shù)組 unsigned char status,datah,datal,id; id=1; while(!kbhit() 等待鍵盤,按任意鍵結束 outportb(0 x212,0 x00); 啟動12位轉換,CS、A0、 R/C=0,CE=1do status=inportb(0 x210); 讀取狀態(tài) 第54頁,共85頁,2022年,5月20日,15點1分,星期三 status=status 0 x80; D7(STS

31、)=1? while(status!=0); STS不等于0,等待A/D轉換完, 再讀狀態(tài) datah=inportb(0 x212); A/D轉換完成,讀取高8位 datal=inportb(0 x213); 讀取低8位數(shù)據(jù) DATAid=16*datah+datal; 合成高8位數(shù)據(jù)與低4位數(shù)據(jù) id+; 數(shù)組下標+1 A/D轉換采集程序一般采用中斷方式編寫(MS-DOS)環(huán)境或Windows95/98環(huán)境)或線程方式編寫(Windows95/98環(huán)境),用軟件查詢方式可能會導致數(shù)據(jù)丟失,因此使用較少。第55頁,共85頁,2022年,5月20日,15點1分,星期三四、數(shù)據(jù)采集系統(tǒng)設計 該數(shù)

32、據(jù)采集接口板可對16路模擬信號進行采集,A/D變換精度為5V/212=1.2mV,接口板具有數(shù)據(jù)保持電路,可對變化的模擬信號進行實時采集。1.多路轉換開關 16路模擬信通過多路轉換開關芯片AD7506進行切換,AD7506是一個161的模式電子開關,用于切換16個被測模擬信號輸入端,使16路模式信號的采集共享一片ADC轉換器。2.采樣/保持器 接口板的采樣/保持器采用AD582芯片,采樣/保持狀態(tài)的控制由差分邏輯輸入端+LogicIN和-Logicin完成,模擬信號的輸入通過IN+和IN-端輸入。第56頁,共85頁,2022年,5月20日,15點1分,星期三3.ADC與DAC轉換器 接口板的A

33、/D轉換采用ADC574芯片,DAC采用DAC1210芯片,這兩個芯片均是12位的ADC和DAC轉換芯片,可保證A/D的信號通過D/A轉換器進行完全的回放。4.地址譯碼器 接口板的地址譯碼器采用3片74LS136異或門芯片和一片74LS138譯碼器芯片構成,接口板采用跳線K對I/O地址進行設置和改變。5.工作原理及程序控制 該接口板的主要操作有通道選擇命令、啟動ADC轉換命令、查詢ADC轉換是否結束、讀取ADC轉換數(shù)據(jù)等A/D轉換器方面的命令,以及發(fā)送DAC轉換數(shù)據(jù)、啟動DAC轉換器等。第57頁,共85頁,2022年,5月20日,15點1分,星期三虛擬儀器軟件GPIB接口ADC接口DAC接口V

34、XI接口LAN接口虛擬儀器物理硬件圖10-15 虛擬儀器構成五、虛擬儀器技術1.虛擬儀器基本結構 虛擬儀器由儀器物理硬件、硬件接口和計算機上運行的虛擬器軟件三部分構成,如圖10-15所示。第58頁,共85頁,2022年,5月20日,15點1分,星期三2.虛擬儀器主要技術 傳統(tǒng)儀器由信號采集和控制、信號分析和處理、結果表達和輸出三部分組成,虛擬儀器也不例外,它需要能實現(xiàn)信號采集和控制的插卡、接口等硬件支持,同時還需要能實現(xiàn)各種信號分析、處理,以滿足多種測試功能的分析的支持。3.虛擬儀器軟件 虛擬儀器的軟件主要由硬件驅動程序、控制軟件和圖形化用戶接口等三部分組成。4.虛擬儀器應用 目前,虛擬儀器的

35、應用越來越廣,在基于計算機的測試、測量、數(shù)據(jù)采集、監(jiān)控、控制等方面占有重要的地位。第59頁,共85頁,2022年,5月20日,15點1分,星期三 12.4 A/D轉換器接口電路設計-查詢方式一、12位A/D轉換器接口設計1、要求 進行12位轉換,轉換結果分兩次輸出,以左對齊方式存放在首址為400H的內存區(qū)。共采集64個數(shù)據(jù)。ADC與CPU之間采用查詢方式交換數(shù)據(jù),采用AD574A作為A/D轉換器。2、分析 AD574A是具有三態(tài)輸出鎖存器的A/D轉換器,它可以作12位轉換,也可作8位轉換。3、設計 (1)硬件連接第60頁,共85頁,2022年,5月20日,15點1分,星期三擴展槽D0D7PCA

36、ENAO9IOWIORDB411DB03STSAD574ACS-A0R/CCE12/8VccVee10VIN20VINREFINREFOUTBIPOFFDGAGD774LS125 譯碼A0&Y1Y0Y2+15V-15V圖12.10 AD574與CPU連接原理圖第61頁,共85頁,2022年,5月20日,15點1分,星期三AD574內部有三態(tài)輸出鎖存器,故數(shù)據(jù)輸出線可直接與系統(tǒng)數(shù)據(jù)線相連,將AD574A的12條輸出數(shù)據(jù)線的高8位接到系統(tǒng)總線的D0D7,而把低4位接到數(shù)據(jù)總線的高4位,低4位補0,以實現(xiàn)左對齊。轉換結束狀態(tài)信號STS,通過三態(tài)門74LS125接到數(shù)據(jù)線D7上。要求分兩次傳送,故將1

37、2/8接數(shù)字地。CE接VCC,允許工作。圖中I/O端口地址譯碼有三個端口地址: Y0=310,為狀態(tài)口;Y1=311H,為數(shù)據(jù)口(低4位); Y2=312上,為轉換啟動控制口/數(shù)據(jù)口(高8位)。例如:轉換啟動端口設置為312H,其中包含A0=0,以實現(xiàn)12位轉換。讀數(shù)據(jù)端口設置了兩個,一個是312H,包含A0=0,讀高字節(jié);一個是311H,包含A0=1,讀低字節(jié)。第62頁,共85頁,2022年,5月20日,15點1分,星期三(2)軟件編程 根據(jù)題目的要求和信號的時序關系,其數(shù)據(jù)采集的程序段如下: MOV CX,40H ;采集次數(shù) MOV SI,400H ;存放數(shù)據(jù)內存首址START:MOV D

38、X,312H ;12位轉換(A0=0) MOV AL,0H ;寫入的數(shù)據(jù)可以取任意值 OUT DX,AL ;轉換啟動(CS,及R/C均置0, CE置1) MOV DX,310H ;讀狀態(tài),Y0=0,打開三態(tài)門 L: IN AL,DX AND AL,80H ;檢查D7=STS=0? JNZ L ;不為0,轉換已結束,則等待 MOV DX,311H ;為0,轉換已結束,先讀低4位 (A0=1)第63頁,共85頁,2022年,5月20日,15點1分,星期三 IN AL, DX AND AL, 0F0H ;屏蔽低4位 MOV SI ,AL ;送內存 INC SI ;內存地址加1 MOV DX, 312

39、H ;再讀高8位(A0=0) IN AL, DX MOV SI,AL ;送內存 INC SI ;內存地址+1 DEC CX ;采集次數(shù)減1 JNZ START ;未完,繼續(xù) MOV AX,4C00H ;已完,程序退出 INT 21H 第64頁,共85頁,2022年,5月20日,15點1分,星期三第65頁,共85頁,2022年,5月20日,15點1分,星期三 12.5 A/D轉換器接口電路設計- 中斷方式一、單板機系統(tǒng)的中斷方式數(shù)據(jù)采集系統(tǒng)設計1、要求2、電路分析與設計 1、硬件 ADC0804, DAC0832, 8259中斷控制器; (P276,圖12.15) 2、程序設計(P277)第66

40、頁,共85頁,2022年,5月20日,15點1分,星期三D0D7D8D11D0-D7D0-D3D0D3D4D11D0-D7D7-D4第67頁,共85頁,2022年,5月20日,15點1分,星期三 12.6 A/D轉換器接口電路設計- DMA 方式一、采用DMA方式的A/D轉換器接口電路分析與設計1、要求 要求8位A/D轉換器,共采集4K個字節(jié)數(shù)據(jù),采集的數(shù)據(jù)用DMA方式,送到從30400H開始的內存保存,以待處理,內存地址以+1方式修改。使用DMAC8237A-5的通道1,單一傳送方式。2、電路分析與設計 根據(jù)上述要求,采用如圖12.22所示的電路可以實現(xiàn)DMA方式的數(shù)據(jù)采集任務。第68頁,共

41、85頁,2022年,5月20日,15點1分,星期三CDU1QRA/DEOCSTARTINS/HAQPRRU2&1RDD07DACK1RESET1IORDIRABLS245GD07LS32LS08DREQ1Vcc至CMAC圖12.22 DMA方式的A/D接口原理圖第69頁,共85頁,2022年,5月20日,15點1分,星期三二、初始化編程1、分析 在PC機系列微機中,由于BIOS已對8237A-5進行了初始化,故用戶程序并不需要對所有16個寄存器逐一編程,根據(jù)題意只涉及以下幾個操作及對應的寄存器。 選定傳送通道及工作方式,使用工作方式及對應的寄存器。 設置DMA屏蔽字,使用屏蔽寄存器,端口=0B

42、H。 設定傳輸?shù)目傋止?jié)數(shù),使用字節(jié)數(shù)寄存器,端口=03H(通道1)。 設定傳送的存儲器地址,使用地址寄存器,端口=02H(通道1)。 寫清除先/后觸發(fā)器,使用地址寄存器,端口=0CH。 2、編程第70頁,共85頁,2022年,5月20日,15點1分,星期三12.7 超高速數(shù)據(jù)采集系統(tǒng)一、超高速視頻閃爍A/D轉換器 近年來VISI技術的突破性成就使得采用全并行直接轉換方式的閃爍型ADC能達到較高分辨率,一般為410位,其采樣速率高達1800MSA/s。如模擬器件公司生產的AD9048,采樣速率可達35MSA/s,分辨率為8位。1、AD9048閃爍A/D轉換器原理 一種典型的閃爍A/D轉換器的原理

43、框圖如圖12.23所示。第71頁,共85頁,2022年,5月20日,15點1分,星期三+VREF 模擬量 輸入+-+-+-+-+-解碼邏輯輸出寄存器2N-1R比較器RRRR-VREF采樣時鐘NN 圖12.23 N位閃爍ADC內部框圖第72頁,共85頁,2022年,5月20日,15點1分,星期三 在閃爍ADC中,模擬輸入信號被同時加在2N-1個可鎖存的比較器上,這里N為ADC的分辨率位數(shù)。 AD9048內部主要由3個功能塊構成:比較器陣列、解碼邏輯和輸出鎖存器。在比較器陣列內,模擬輸入信號將與255個參電壓進行比較,當模擬輸入信號電壓比參考電壓高,比較器的輸出為高(1),反之輸出為低(0)。輸入

44、到解碼邏輯中并被換成二進制碼,二、一個30MHz采樣頻率的數(shù)據(jù)采集系統(tǒng)的設計1、在板存儲器方式 雖然8237A-5DMAC能夠為存儲器和I/O之間提供高達1.5MB/s的數(shù)據(jù)傳輸率,但對采用AD9048可達35MSA/s的數(shù)據(jù)采集系統(tǒng)還是不能滿足實時傳送的要求。 為此,一般采用在板存儲器(on-board RAM)方式傳送數(shù)據(jù)。第73頁,共85頁,2022年,5月20日,15點1分,星期三地址計數(shù)器高速緩存器 D0D7(至PC內存)閃爍ADC控制電路A(模擬量輸入)CLK(轉換時鐘) 圖12.25 在板存儲器的結構框圖第74頁,共85頁,2022年,5月20日,15點1分,星期三2.硬件設計

45、圖12.26為一個30MHz超高速數(shù)據(jù)采集系統(tǒng)的接口電路原理圖,其中包括了閃爍A/D轉換器AD9048,高速RAM,地址計數(shù)器和相應的控制邏輯。(1)數(shù)據(jù)采集和在板存儲操作(2)數(shù)據(jù)讀取操作3.軟件編程 設在板RAM的容量為8KB,要求將采集的數(shù)據(jù)存入內存從32000H開始的連續(xù)空間內,其程序段如下: ;寫端口定義 RESET-ADDRESS EQU? ;地址計數(shù)器清零端口 START EQU? ;啟動轉換端口第75頁,共85頁,2022年,5月20日,15點1分,星期三ADCVINAD9048D18CONVERTA高速緩沖器RAMWECEA74LS244(2)YIG2GA74LS244(2)YIG2G至PCD0D7OSC174LS32&A019 CP 地址計數(shù)器 TC PERD-DATA1RESET-ADDRESSDQPDRDENABLE74LS7474LS74STARTD0START第76頁,共85頁,2022年,5月20日,15點1分,星期三;讀端口定義STATE EQU? ;狀態(tài)端口RD-DATA EQU? ;讀數(shù)據(jù)端口SAMPLE SIZE EQU IFFFH ;采樣次數(shù)為8KDATA CONVERION PROCMOV DX,RESET-ADDRESS ;對RESET-ADDRESS端口 作一 次寫作操 OUT

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論