FPGA的核物理實(shí)驗(yàn)定標(biāo)器的設(shè)計(jì)與實(shí)_第1頁(yè)
FPGA的核物理實(shí)驗(yàn)定標(biāo)器的設(shè)計(jì)與實(shí)_第2頁(yè)
FPGA的核物理實(shí)驗(yàn)定標(biāo)器的設(shè)計(jì)與實(shí)_第3頁(yè)
FPGA的核物理實(shí)驗(yàn)定標(biāo)器的設(shè)計(jì)與實(shí)_第4頁(yè)
FPGA的核物理實(shí)驗(yàn)定標(biāo)器的設(shè)計(jì)與實(shí)_第5頁(yè)
已閱讀5頁(yè),還剩1頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、【W(wǎng)ord版本下載可任意編輯】 FPGA的核物理實(shí)驗(yàn)定標(biāo)器的設(shè)計(jì)與實(shí)介紹使用現(xiàn)代EDA手段設(shè)計(jì)核物理實(shí)驗(yàn)常用儀器定標(biāo)器的原理和實(shí)現(xiàn)方法。新的定標(biāo)器利用FPGA技術(shù)對(duì)系統(tǒng)中大量電路開展集成,結(jié)合AT89C51單片機(jī)開展控制和處理,并增加數(shù)據(jù)存儲(chǔ)功能和RS232接口,實(shí)現(xiàn)與PC機(jī)通信,開展實(shí)驗(yàn)數(shù)據(jù)處理。本文給出詳細(xì)新定標(biāo)器設(shè)計(jì)原理圖和FPGA具體設(shè)計(jì)方案。 定標(biāo)器在大學(xué)實(shí)驗(yàn)中有很廣泛的應(yīng)用,其中近代物理實(shí)驗(yàn)中的核物理實(shí)驗(yàn)里就有2個(gè)實(shí)驗(yàn)(G-M計(jì)數(shù)管和吸收)要用到高壓電源和定標(biāo)器,而目前現(xiàn)有的設(shè)備一般使用的是分立元器件,已嚴(yán)重老化,高壓極不穩(wěn)定,維護(hù)也較為困難;另一方面在許多常用功能上明顯欠缺,使得

2、學(xué)生的實(shí)驗(yàn)課難以維持。為此我們提出了一種新的設(shè)計(jì)方案:采用EDA開展構(gòu)造設(shè)計(jì),充分發(fā)揮FPGA(Field Programmable Gate Array)技術(shù)的集成特性,拋棄原電路中眾多晶體管,成功地對(duì)系統(tǒng)中的大量處理電路開展了簡(jiǎn)化和集約,提高了儀器的可靠性和穩(wěn)定性,有利于電路的測(cè)試和檢修。改良方案后的定標(biāo)器不僅完善了原有的功能,還增加了數(shù)據(jù)存儲(chǔ)、RS232接口等功能,可以方便地與PC機(jī)接口通信,開展數(shù)據(jù)處理、圖像顯示和打印等。 1 G-M計(jì)數(shù)器原理 G-M計(jì)數(shù)管是一種低壓氣體放電管,作用是將入射粒子(射線)轉(zhuǎn)換成電壓脈沖輸出。原子物理實(shí)驗(yàn)中常用它作為計(jì)數(shù)裝置的“探頭”探測(cè)射線及射線強(qiáng)度。G

3、-M計(jì)數(shù)管有2種:用于探測(cè)射線的鐘罩型和主要用于探測(cè)射線的長(zhǎng)圓柱型。其中鐘罩型計(jì)數(shù)管的工作電壓為千V(伏)左右,圓柱型工作電壓接近千V(伏)。 射線粒子在計(jì)數(shù)管中引起氣體“雪崩”放電,使得計(jì)數(shù)管導(dǎo)通;電流通過(guò)負(fù)載電阻R形成一個(gè)負(fù)脈沖,此脈沖信號(hào)通過(guò)電容C,經(jīng)前置放大器送至定標(biāo)器計(jì)數(shù),如圖1所示。由于計(jì)數(shù)管在放電終止后會(huì)形成連續(xù)放電現(xiàn)象,此現(xiàn)對(duì)計(jì)數(shù)管極其有害,故一發(fā)現(xiàn)計(jì)數(shù)突然增加時(shí),就應(yīng)立即降低高壓。改良后的定標(biāo)器會(huì)自動(dòng)控制高壓源,將其電壓降低。這些改良。即可防止以前實(shí)驗(yàn)中出現(xiàn)的計(jì)數(shù)管損壞問(wèn)題。 2 定標(biāo)系統(tǒng)原理及硬件實(shí)現(xiàn) 定標(biāo)器系統(tǒng)由電源部分、輸入電路部分和脈沖計(jì)數(shù)顯示部分3個(gè)模塊組成,原理框

4、圖如圖2所示。 G-M計(jì)數(shù)管產(chǎn)生的負(fù)脈沖經(jīng)過(guò)輸入整形電路,開展整形、放大處理,產(chǎn)生標(biāo)準(zhǔn)TTL信號(hào),再由計(jì)數(shù)測(cè)量電路開展計(jì)數(shù)。定時(shí)脈寬門控電路控制計(jì)數(shù)的脈寬,分6個(gè)檔:10 -3、10 -2、10 -1、10 0、10 1、10 2。時(shí)間倍乘檔有4種選擇:1、2、4、8。這樣開展的一組測(cè)量數(shù)據(jù)即可以用來(lái)描述射線粒子產(chǎn)生的規(guī)律。 圖2中,顯示部分采用的是動(dòng)態(tài)顯示的方法,利用單片機(jī)AT89C51來(lái)開展即時(shí)的控制和相應(yīng)的顯示數(shù)據(jù)。同時(shí)根據(jù)需要,選擇部分測(cè)量數(shù)據(jù)(包括此次計(jì)數(shù)數(shù)據(jù)及對(duì)應(yīng)的高壓值)存儲(chǔ)到RAM中,然后將所選取的RAM中的數(shù)據(jù)通過(guò)RS232串行端口發(fā)送到PC機(jī)上,經(jīng)過(guò)相應(yīng)的處理軟件開展描圖,

5、以及相應(yīng)的實(shí)驗(yàn)數(shù)據(jù)處理。為了使系統(tǒng)更加集成化,特定時(shí)脈寬門控、計(jì)數(shù)測(cè)量電路、地址譯碼及數(shù)據(jù)鎖存、總線的驅(qū)動(dòng)等電路集成到1片F(xiàn)LEX10K的FPGA中。圖3為系統(tǒng)詳細(xì)電路原理框圖。 3 FPGA芯片設(shè)計(jì) 3.1 FPGA邏輯功能構(gòu)造及其總體設(shè)計(jì) 為了簡(jiǎn)化設(shè)計(jì),實(shí)現(xiàn)系統(tǒng)大量邏輯電路的集成,在設(shè)計(jì)中使用了現(xiàn)場(chǎng)可編程邏輯門陣列器件(FPGA)。FPGA主要實(shí)現(xiàn)以下邏輯功能:定時(shí)脈寬門控、計(jì)數(shù)測(cè)量、地址鎖存、譯碼、總線的驅(qū)動(dòng)和擴(kuò)展以及數(shù)碼顯示的控制等功能。其邏輯功能頂層構(gòu)造如圖4所示。FPGA器件選擇Altera公司FLEX10K10系列的EPF10K10LC84-4芯片。該芯片集成有1萬(wàn)個(gè)等效邏輯門,

6、含有572個(gè)邏輯單元(LEs)、72個(gè)邏輯陣列塊(LABs)、3個(gè)嵌入式陣列塊(EAB s),并具有720個(gè)片內(nèi)存放器,可以在不占用內(nèi)部資源的條件下實(shí)現(xiàn)6144 bit的片內(nèi)存儲(chǔ)器;內(nèi)部模塊間采用高速、延時(shí)可預(yù)測(cè)的快速通道連接;邏輯單元間具有高速、高扇出的級(jí)聯(lián)鏈和快速進(jìn)位鏈;片內(nèi)還有三態(tài)網(wǎng)絡(luò)和6個(gè)全局時(shí)鐘、4個(gè)全局清零信號(hào)以及豐富的I/O資源;每個(gè)I/O引腳可以選擇為三態(tài)控制或集電極開路輸出,可以通過(guò)編程控制每個(gè)I/O引腳的速度以及I/O存放器的使用。 FPGA使用的開發(fā)軟件為MAX+PLUS II。該軟件是一個(gè)集設(shè)計(jì)輸入、編譯、仿真和編程為一體的超級(jí)集成環(huán)境;提供了自動(dòng)邏輯綜合工具,可以在多

7、個(gè)邏輯層次上對(duì)設(shè)計(jì)描述開展綜合、優(yōu)化,大大縮短了編譯時(shí)間,加速了FPGA設(shè)計(jì)開發(fā)進(jìn)程。MAX+PLUS II支持各種HDL輸入選項(xiàng),包括VHDL、Verilog HDL和ALTERA的硬件描述語(yǔ)言AHDL;提供豐富的庫(kù)單元供設(shè)計(jì)者調(diào)用,其中包括74個(gè)系列的全部器件和多種特殊的邏輯宏單元(macrofunction),以及新型的參數(shù)化的巨單元(magafunction)。FPGA設(shè)計(jì)經(jīng)過(guò)4個(gè)基本階段:設(shè)計(jì)輸入、設(shè)計(jì)編譯、設(shè)計(jì)驗(yàn)證和器件編程。首先,根據(jù)系統(tǒng)的邏輯功能生成頂層構(gòu)造圖,如圖4所示。然后,分成幾個(gè)小模塊開展下設(shè)計(jì)。由此由上而下分析其邏輯功能,從底層開展設(shè)計(jì)編譯,每都開展波形驗(yàn)證。當(dāng)頂層模

8、塊的邏輯功能在波形仿真中滿足系統(tǒng)時(shí)序要求時(shí),才可開展器件編程。 由于FLEX10K在工作期間,將配置數(shù)據(jù)保存在SRAM中,而SRAM數(shù)據(jù)是易丟失的。SRAM單元必須在器件加電后裝入配置數(shù)據(jù),且配置完成后,它的存儲(chǔ)器和I/O引腳必須被初化。初始化后,器件進(jìn)入用戶模式,開始系統(tǒng)運(yùn)行。對(duì)于FLEX10K系列器件,Altera公司提供了4種配置方案:EPC1(或EPC1441)EPPOM方式配置法、被動(dòng)串行法、被動(dòng)并行同步法、被動(dòng)并行異步法。對(duì)器件開展配置時(shí),我們先用被動(dòng)串行法(passive serial)。這種方式是通過(guò)電纜對(duì)器件開展配置的,適合于調(diào)試階段。當(dāng)整個(gè)系統(tǒng)設(shè)計(jì)完成后,利用EPPOM方式

9、對(duì)器件開展配置。這樣固化在EPROM中的數(shù)據(jù)將在系統(tǒng)上電時(shí)對(duì)FPGA芯片配置,其中EPROM芯片選用EPC1441。 3.2 FPGA單元模塊設(shè)計(jì) FPGA單元主由脈沖計(jì)數(shù)模塊,定時(shí)控制模塊,地址鎖存、譯碼、總線驅(qū)動(dòng)、擴(kuò)展模塊這3大模塊組成。其中脈沖計(jì)數(shù)模塊和定時(shí)控制模塊用來(lái)實(shí)現(xiàn)對(duì)輸入脈沖的計(jì)數(shù)次數(shù)的測(cè)量;地址鎖存、譯碼、總線驅(qū)動(dòng)和擴(kuò)展這部分模塊,主要實(shí)現(xiàn)各數(shù)據(jù)在總線上的分時(shí)傳輸。總線上的數(shù)據(jù)包括脈沖計(jì)數(shù)數(shù)據(jù)和電源模塊的高壓數(shù)據(jù),以及來(lái)自單片機(jī)的數(shù)據(jù)總線D0D7的數(shù)碼顯示用數(shù)據(jù)。此模塊中的地址譯碼部分,提供鎖存單元片選信號(hào)。圖5所示為FPGA頂層電路圖。 在具體設(shè)計(jì)時(shí),考慮到計(jì)數(shù)脈沖寬度為0.

10、1100s,計(jì)數(shù)率為2MHz,即計(jì)數(shù)位數(shù)達(dá)7位,所以設(shè)計(jì)中的脈沖良數(shù)模塊就相當(dāng)于1個(gè)7位的BCD加計(jì)數(shù)器;而定時(shí)控制模塊相當(dāng)于1個(gè)7位的BCD減計(jì)數(shù)器。減計(jì)數(shù)器的預(yù)置初始值由定時(shí)選擇開關(guān)控制,從而控制數(shù)的時(shí)間。CLR信號(hào)為“計(jì)數(shù)鍵”產(chǎn)生的一脈沖信號(hào),標(biāo)志計(jì)數(shù)開始,而減計(jì)數(shù)器減到0時(shí)加計(jì)數(shù)器即停止計(jì)數(shù)。這部分設(shè)計(jì)通過(guò)調(diào)用MAX+PLUS II提供的庫(kù)函數(shù)用AHDL語(yǔ)言結(jié)合圖形輸入完成。地址譯碼、鎖存、總線驅(qū)動(dòng)模塊主要由D觸發(fā)器和I/O接口設(shè)計(jì)而成。由于數(shù)據(jù)傳輸中用的是雙向輸入/輸出端口,但是Altera芯片的引腳端口并不可以直接使用,需要加1個(gè)三態(tài)的邏輯門,因此,總線接口部分采用這2種函數(shù)原形(

11、三態(tài)門和雙向端口)開展組合設(shè)計(jì)。 3.3 FPGA功能模塊仿真時(shí)序 在整個(gè)FPGA設(shè)計(jì)中,各單元模塊都是經(jīng)過(guò)嚴(yán)格的設(shè)計(jì)驗(yàn)證之后才繼續(xù)上一層設(shè)計(jì)的。這里主要使用MAX PLUS II的TIMER開展波形仿真,來(lái)驗(yàn)證各子模塊的功能,判斷其時(shí)序是否滿足要求。若時(shí)序稍有不對(duì),甚至僅是小毛刺,也要立即更改輸入設(shè)計(jì)。這樣,設(shè)計(jì)的精度才高,系統(tǒng)工作才穩(wěn)定。當(dāng)每個(gè)模塊終都在時(shí)序上滿足邏輯功能需求時(shí),設(shè)計(jì)才能完成。圖6為FPGA在MAX PLUS II環(huán)境下綜合設(shè)計(jì)后的時(shí)序仿真波形圖。 4 單片機(jī)軟件設(shè)計(jì) 軟件部分主要是單片機(jī)AT89C51對(duì)系統(tǒng)開展控制及相應(yīng)的數(shù)據(jù)處理,整個(gè)控制流程如圖7所示。 結(jié)束語(yǔ) 本文給出了一種用于核物理實(shí)驗(yàn)中的G-M計(jì)數(shù)裝置

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論