《電工電子學(xué)》第7章數(shù)字集成電路及其應(yīng)用_第1頁
《電工電子學(xué)》第7章數(shù)字集成電路及其應(yīng)用_第2頁
《電工電子學(xué)》第7章數(shù)字集成電路及其應(yīng)用_第3頁
《電工電子學(xué)》第7章數(shù)字集成電路及其應(yīng)用_第4頁
《電工電子學(xué)》第7章數(shù)字集成電路及其應(yīng)用_第5頁
已閱讀5頁,還剩189頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第七章數(shù)字集成電路及其應(yīng)用§7.1數(shù)字電路基礎(chǔ)§7.2集成邏輯門§7.3組合邏輯電路§7.4集成觸發(fā)器§7.5時(shí)序邏輯電路1chapter0§7.1數(shù)字電路基礎(chǔ)7.1.1概述7.1.2基本邏輯運(yùn)算和邏輯門7.1.3邏輯代數(shù)基本運(yùn)算規(guī)則和基本定律7.1.4邏輯函數(shù)的代數(shù)法化簡及其變換2chapter07.1.1概述一、電子電路中的電信號(hào)模擬信號(hào)數(shù)字信號(hào)1、模擬信號(hào)隨時(shí)間連續(xù)變化的信號(hào)正弦波信號(hào)t3chapter0處理模擬信號(hào)的電路稱為模擬電路。如整流電路、放大電路等,注重研究的是輸入信號(hào)和輸出信號(hào)間的大小、相位等關(guān)系。在模擬電路中,晶體管通常工作在放大區(qū)。2數(shù)字信號(hào)時(shí)間和數(shù)值都是離散的脈沖信號(hào):是一種躍變信號(hào),并且持續(xù)時(shí)間短暫矩形脈沖t4chapter0脈沖信號(hào)正脈沖:脈沖躍變后的值比初始值高負(fù)脈沖:脈沖躍變后的值比初始值低0+3V0-3V正脈沖0+3V0-3V負(fù)脈沖高電平用邏輯1表示;低電平用邏輯0表示--正邏輯;反之稱為負(fù)邏輯。5chapter0相關(guān)參數(shù)脈沖幅值:脈沖信號(hào)變化的最大值,用A表示;脈沖寬度:正脈沖或負(fù)脈沖的持續(xù)時(shí)間,用tp表示;脈沖周期:周期性的脈沖信號(hào)相鄰兩個(gè)脈沖出現(xiàn)的時(shí)間間隔,用T表示;脈沖頻率:周期性的脈沖信號(hào)每秒鐘出現(xiàn)脈沖的次數(shù),用f表示;占空比:脈沖寬度與脈沖周期之比,用D表示。6chapter0

處理數(shù)字信號(hào)的電路稱為數(shù)字電路,它注重研究的是輸入、輸出信號(hào)之間的邏輯關(guān)系。在數(shù)字電路中,晶體管一般工作在截止區(qū)和飽和區(qū),起開關(guān)的作用。邏輯關(guān)系:條件和結(jié)果之間的因果關(guān)系7chapter0二、數(shù)制與碼制1、數(shù)制按進(jìn)位規(guī)則進(jìn)行計(jì)數(shù)稱為進(jìn)位計(jì)數(shù)制,簡稱數(shù)制。常用的數(shù)制有十進(jìn)制(Decimal)、二進(jìn)制(Binary)、八進(jìn)制(Octal)、十六進(jìn)制(Hexadecimal)。數(shù)字電路中廣泛應(yīng)用的是二進(jìn)制。優(yōu)點(diǎn):用電路的兩個(gè)狀態(tài)---開、關(guān)來表示二進(jìn)制數(shù),數(shù)碼的存儲(chǔ)和傳輸簡單、可靠。缺點(diǎn):位數(shù)較多,使用不便;不合人們的習(xí)慣,輸入時(shí)將十進(jìn)制轉(zhuǎn)換成二進(jìn)制,運(yùn)算結(jié)果輸出時(shí)再轉(zhuǎn)換成十進(jìn)制數(shù)。8chapter0數(shù)的一般表達(dá)方式十進(jìn)制組成十進(jìn)制數(shù)的有0~9十個(gè)符號(hào),這些符號(hào)稱為數(shù)碼,超過9的數(shù)就必須用多位數(shù)來表示,其中低位和相鄰高位之間的關(guān)系是“逢十進(jìn)一”。十進(jìn)制數(shù)532.78可表示為532.78=5×102+3×101+2×100+7×10-1+8×10-29chapter0這里的102、101、100、10-1、10-2稱為十進(jìn)制數(shù)數(shù)位的位權(quán)值,簡稱“權(quán)”。對(duì)于一個(gè)整數(shù)位數(shù)為n,小數(shù)位數(shù)為m的R進(jìn)制正數(shù)N可以表示為:(權(quán)展開式)10chapter0其中:n表示數(shù)N的整數(shù)部分的位數(shù);m表示數(shù)N的小數(shù)部分的位數(shù);R稱為基數(shù),也稱為進(jìn)制或模(mod);Ri為第i位數(shù)碼的位權(quán)值,簡稱“權(quán)”;ai為數(shù)碼,是R個(gè)數(shù)碼(0,1,2,...,R-1)中的任意一個(gè)。11chapter0(101.01)2(25.6)8(12D.23)16=1×22+0×21+1×20+0×2-1+1×2-2=2×81+5×80+6×8-1=1×162+2×161+13×160+2×16-1+3×16-212chapter02數(shù)制轉(zhuǎn)換(1)將R進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)按權(quán)展開再相加即可13chapter0(2)將十進(jìn)制數(shù)轉(zhuǎn)換為R進(jìn)制1)整數(shù)部分轉(zhuǎn)換除基數(shù)取余法,逆序排列例:將(25)10轉(zhuǎn)換為二進(jìn)制數(shù)(25)10=(11001)214chapter02)小數(shù)部分轉(zhuǎn)換乘基數(shù)取整法,順序排列例:將(0.375)10轉(zhuǎn)換為二進(jìn)制數(shù)(0.375)10=(0.011)2

例:將(25.375)10轉(zhuǎn)換為二進(jìn)制數(shù)解:(25.375)10=(11001.011)215chapter0例:將(0.39)10轉(zhuǎn)換二進(jìn)制數(shù)0.39×2=0.78a-1=00.78×2=1.56a-2=10.56×2=1.12a-3=10.12×2=0.24a-4=00.24×2=0.48a-5=00.48×2=0.96a-6=00.96×2=1.92a-7=10.92×2=1.84a-8=1(0.39)10=(0.01100011)2

其精度達(dá)到2-8=0.4%16chapter0(3)二進(jìn)制與八進(jìn)制、十六進(jìn)制之間的轉(zhuǎn)換(123.321)8=(001

010

011.011

010

001)2

(A3.3F)16=(1010

0011.0011

1111)2(1010101001.0101111)2=()16(001

011

010

110

111.101

011

010)2=()8(1011010110111.10101101)2=()8(0010

1010

1001.0101

1110)2=()1613267.5322A9.5E17chapter0以十進(jìn)制為橋梁,先將R進(jìn)制數(shù)轉(zhuǎn)化為十進(jìn)制數(shù),再將十進(jìn)制數(shù)轉(zhuǎn)化為S進(jìn)制。轉(zhuǎn)化時(shí)按前述原則即可。把轉(zhuǎn)化為五進(jìn)制,結(jié)果為?(4)任意兩種數(shù)制之間的轉(zhuǎn)換18chapter02碼制用文字、符號(hào)或數(shù)碼的組合來表示各個(gè)特定對(duì)象的過程,稱為編碼。在數(shù)字電路中通常用二進(jìn)制數(shù)碼0和1構(gòu)成的代碼來表示各有關(guān)對(duì)象(如十進(jìn)制數(shù)、字符等)。電話號(hào)碼汽車牌號(hào)19chapter01位二進(jìn)制數(shù)可以表示多少個(gè)“事物”?2個(gè)2位二進(jìn)制數(shù)可以表示多少個(gè)“事物”?4個(gè)n位二進(jìn)制數(shù)可以表示多少個(gè)“事物”?2n個(gè)代碼01代碼00011011代碼000…0111…1若需要編碼的信息數(shù)量為N,則需要用的二進(jìn)制位數(shù)n應(yīng)滿足什么條件?2n≥N20chapter0二-十進(jìn)制編碼(BinaryCodedDecimal簡稱BCD碼)用二進(jìn)制數(shù)碼表示一位十進(jìn)制數(shù)(0-9)代碼的過程。24=10(0~9)+6(禁止出現(xiàn),稱為偽碼)1位十進(jìn)制數(shù)至少需要多少位二進(jìn)制數(shù)來表示?4位21chapter0十進(jìn)制數(shù)8421碼2421碼5121碼格雷碼余3碼余3循環(huán)碼0000000000000000000110010100010001000100010100011020010001000100011010101113001100110011001001100101401000100011101100111010050101101110000111100011006011011001100010110011101701111101110101001010111181000111011101100101111109100111111111110111001010常用的BCD代碼22chapter0若要用BCD碼表示n位十進(jìn)制數(shù),則需用n個(gè)BCD碼來表示.表示時(shí)代碼之間應(yīng)有間隔。例如用8421BCD碼表示(1689)10,可寫為(1689)10=()8421BCD反之,已知BCD碼,可直接寫成十進(jìn)制數(shù),如(010101101000.1001)8421BCD=()10568.9000101101000100123chapter07.1.2基本邏輯運(yùn)算和邏輯門

邏輯門電路是數(shù)字電路中最基本的邏輯元件。

所謂門就是一種開關(guān),它能按照一定的條件去控制信號(hào)的通過或不通過。門電路的輸入和輸出之間存在一定的邏輯關(guān)系(因果關(guān)系),所以門電路又稱為邏輯門電路。比如滿足與邏輯關(guān)系的門電路就叫做與門。

基本邏輯關(guān)系為“與”、“或”、“非”三種。24chapter0一、與邏輯運(yùn)算和與門220V+-設(shè):開關(guān)斷開用邏輯“0”表示、閉合用邏輯“1”表示;燈不亮用邏輯“0”表示,燈亮用邏輯“1”表示。邏輯表達(dá)式:

F=A?B“與”邏輯關(guān)系是指當(dāng)決定某事件的條件全部具備時(shí),該事件才發(fā)生。000101110100ABFBFA(邏輯狀態(tài)表)真值表25chapter0邏輯符號(hào):&ABF邏輯表達(dá)式

F=A·B·C有“0”出“0”,

全“1”出“1”與門功能概括為:&ABFC一般形式:A·0=?A·1=?A·A=?A·0=0A·1=AA·A=A26chapter0二、或邏輯運(yùn)算和或門BF220VA+-

“或”邏輯關(guān)系是指當(dāng)決定某事件的條件之一具備時(shí),該事件就發(fā)生。邏輯表達(dá)式:

F=A+B真值表000111110110ABF27chapter0有“1”出“1”全“0”出“0”邏輯符號(hào):ABF>1或門功能概括為:一般形式:A+0=?A+1=?A+A=?A+0=AA+1=1A+A=A28chapter0根據(jù)輸入波形畫出輸出波形ABY1&ABY1>1ABY2Y229chapter0三、非邏輯運(yùn)算和非門邏輯表達(dá)式:F=A真值表101AF0F220VA+-R邏輯符號(hào)1AF“非”邏輯關(guān)系是指決定某事件的條件只有一個(gè),當(dāng)條件具備時(shí)事件不發(fā)生,而條件不具備時(shí)事件發(fā)生。30chapter0四、復(fù)合邏輯運(yùn)算和復(fù)合門“與”、“或”、“非”是三種基本的邏輯關(guān)系,任何其它的邏輯關(guān)系都可以以它們?yōu)榛A(chǔ)表示。最常見的復(fù)合邏輯運(yùn)算有:與非運(yùn)算、或非運(yùn)算、異或運(yùn)算、同或運(yùn)算31chapter0有“0”出“1”全“1”出“0”邏輯表達(dá)式:

F=AB“與非”門真值表由與門和非門構(gòu)成與非門。32chapter0F=A+B邏輯表達(dá)式:

有“1”出“0”全“0”出“1”“或非”門真值表由或門和非門構(gòu)成或非門。33chapter0“異或”門電路異或運(yùn)算:輸入變量相異時(shí)輸出為1;相同時(shí)輸出為0。ABF000011101110真值表異或門邏輯符號(hào):邏輯表達(dá)式:F=A⊕B=實(shí)現(xiàn)電路看書P20934chapter0異或邏輯的運(yùn)算規(guī)則為0110AA10偶數(shù)個(gè)1“相異或”,結(jié)果為?奇數(shù)個(gè)1“相異或”,結(jié)果為?思考:0135chapter0“同或”門電路同或運(yùn)算:輸入變量相同時(shí)輸出為1;相異時(shí)輸出為0.ABF001010100111狀態(tài)表邏輯表達(dá)式:F=A⊙B=同或門邏輯符號(hào):實(shí)現(xiàn)電路看書P21036chapter0A⊙0=A⊙1=AA⊙A=0A⊙A=1同或邏輯的運(yùn)算規(guī)則為0⊙0=10⊙1=01⊙0=01⊙1=1A⊙B⊙C

A⊙B=37chapter07.1.3邏輯代數(shù)基本運(yùn)算規(guī)則和基本定律

邏輯代數(shù)(又稱布爾代數(shù)),它是分析設(shè)計(jì)邏輯電路的數(shù)學(xué)工具。雖然它和普通代數(shù)一樣也用字母表示變量,但變量的取值只有“0”,“1”兩種,分別稱為邏輯“0”和邏輯“1”。這里“0”和“1”并不表示數(shù)量的大小,而是表示兩種相互對(duì)立的邏輯狀態(tài)。

邏輯代數(shù)所表示的是邏輯關(guān)系,而不是數(shù)量關(guān)系。這是它與普通代數(shù)的本質(zhì)區(qū)別。38chapter01.邏輯代數(shù)基本運(yùn)算規(guī)則:邏輯代數(shù)運(yùn)算法則自等律0-1律重疊律非非律互補(bǔ)律對(duì)應(yīng)于三種基本邏輯關(guān)系,有三種基本邏輯運(yùn)算邏輯乘、邏輯加和邏輯非。邏輯代數(shù)中其它的運(yùn)算法則都是由這三種基本邏輯運(yùn)算推導(dǎo)出來的。39chapter02.邏輯代數(shù)基本定律交換律普通代數(shù)不適用!證明:結(jié)合律分配律A+1=1

AA=A.40chapter0110011111100反演律列狀態(tài)表證明:AB00011011111001000000

反演規(guī)則:將F中的“·”變成“+”,“+”變成“·”,“0”變成“1”,“1”變成“0”,原變量變成反變量,反變量變成原變量,則得到F的反函數(shù)。如:F=AB+AB則F=(A+B)(A+B)41chapter0證明一證明二運(yùn)用分配律吸收律(1)A+AB=A(2)A(A+B)=A(3)(4)冗余律42chapter0思考能否將AB=AC,A+B=A+C,A+AB=A+AC這三個(gè)邏輯式化簡為B=C?分析:設(shè)A=0,即使B≠C,AB=AC也成立結(jié)論在邏輯代數(shù)中,不存在除法、減法、移項(xiàng)運(yùn)算。43chapter07.1.4邏輯函數(shù)的代數(shù)法化簡與變換一、邏輯函數(shù)的表達(dá)形式及其轉(zhuǎn)換邏輯函數(shù)――輸入與輸出之間是一種確定的函數(shù)關(guān)系;即當(dāng)輸入變量的取值確定之后,輸出變量的取值隨之確定。44chapter0(a)邏輯狀態(tài)真值表:邏輯狀態(tài)真值表,簡稱狀態(tài)表或真值表。將全部自變量的所有取值組合與其相應(yīng)的輸出結(jié)果值列成一表,稱為邏輯狀態(tài)真值表。(b)邏輯表達(dá)式:用“與”“或”“非”等運(yùn)算來表達(dá)邏輯函數(shù)的表達(dá)式。(c)邏輯圖:用以邏輯符號(hào)表示的基本邏輯元件實(shí)現(xiàn)邏輯函數(shù)功能的電路圖稱為邏輯圖。(d)卡諾圖:卡諾圖就是與變量的最小項(xiàng)對(duì)應(yīng)的按一定規(guī)則排列的方格圖,每一小格填入一個(gè)最小項(xiàng)。(e)波形圖:由輸入變量的所有可能取值組合的高、低電平及其對(duì)應(yīng)的輸出函數(shù)值的高、低電平所構(gòu)成的圖形。邏輯函數(shù)有5種表示方法:45chapter0常用表示方法轉(zhuǎn)換——真值表轉(zhuǎn)換為表達(dá)式:①常采用與或表達(dá)式的形式;②在狀態(tài)表中選出使函數(shù)值為1的變量組合;③變量值為1的寫成原變量,為0的寫成反變量,得到其值為1的乘積項(xiàng)組合。④將這些乘積項(xiàng)加起來(邏輯或)得到“與或”邏輯函數(shù)式。46chapter0與或表達(dá)式或與表達(dá)式與非與非表達(dá)式常用的邏輯函數(shù)的表達(dá)形式47chapter0這些表達(dá)式反映的是同一邏輯關(guān)系,可以用若干門電路的組合來實(shí)現(xiàn)。在用門電路實(shí)現(xiàn)其邏輯關(guān)系時(shí),究竟使用哪種表達(dá)式,要看使用哪種門電路。邏輯表達(dá)式--邏輯圖的轉(zhuǎn)換48chapter049chapter0二、邏輯函數(shù)的公式法化簡最簡的函數(shù)表達(dá)式的標(biāo)準(zhǔn):表達(dá)式中所含項(xiàng)數(shù)量最少;每項(xiàng)中所含變量個(gè)數(shù)最少。50chapter0利用邏輯代數(shù)基本運(yùn)算規(guī)則和基本定律對(duì)邏輯函數(shù)進(jìn)行化簡和變換。(1)并項(xiàng)法(2)配項(xiàng)法?冗余定律51chapter0(3)吸收法吸收A+AB=A52chapter0例:化簡反演律冗余定律冗余定律53chapter0冗余定律54chapter0例:化簡55chapter0例:化簡56chapter0例:化簡57chapter0§7.2集成邏輯門與分立元件相比,集成邏輯門具有速度快、可靠性高和微型化等優(yōu)點(diǎn),目前分立元件電路已被集成電路替代。在實(shí)際應(yīng)用中,廣泛使用的是TTL和CMOS集成電路。TTL與非門電路三態(tài)門使用集成門注意事項(xiàng)58chapter0+5VFR4R2R13kT2R5R3T3T4T1T5b1c1ABCTTL與非門的內(nèi)部結(jié)構(gòu)F&ABC59chapter0TTL與非門的主要參數(shù)F&ABC1.電壓傳輸特性2、輸出高電平UOH,輸出低電平UOL3、輸入高電平UIH,輸入低電平UIL4、抗干擾容限5、扇出系數(shù)6、工作速度(平均傳輸延遲時(shí)間)60chapter0

集成電路對(duì)使用者來說是極為方便的,特別是中、大規(guī)模集成電路,使用者可以不必了解內(nèi)部結(jié)構(gòu)和工作原理,只要從手冊(cè)中查出該電路的真值表、引腳功能圖和電參數(shù)就能合理的使用該集成電路。集成TTL與非門4輸入2非門74LS202輸入4與非門74LS0061chapter0三態(tài)門0

高阻0

0

1

1

0

1

11

1

0

111

1

10表示任意態(tài)邏輯狀態(tài)表ABEY輸出高阻功能表&YEBA邏輯符號(hào)EN62chapter01

高阻0

0

0

1

0

1

01

1

0

011

1

00表示任意態(tài)邏輯狀態(tài)表ABEY輸出高阻功能表&YEBA邏輯符號(hào)EN63chapter0三態(tài)門應(yīng)用:1、可實(shí)現(xiàn)用一條導(dǎo)線分時(shí)輪流傳送多路信號(hào)。如圖所示:“1”“0”“0”總線&A1B1E1&A2B2E2&A3B3E3A1

B164chapter0三態(tài)門應(yīng)用:2、可實(shí)現(xiàn)數(shù)據(jù)的雙向傳輸??偩€&A0&EENENFPQE=1高阻態(tài)65chapter0三態(tài)門應(yīng)用:2、可實(shí)現(xiàn)數(shù)據(jù)的雙向傳輸??偩€&A0&EENENFPQE=0高阻態(tài)66chapter0使用集成門注意事項(xiàng)1)74系列。標(biāo)準(zhǔn)TTL系列。PCC=10mW,tPd=9ns2)74L系列。低功耗系列,PCC=1mW,tPd=33ns3)74H系列。高速系列。PCC=22mW,tPd=6ns4)74S系列。肖特基(schottky)系列。PCC=109mW,tPd=3ns5)74LS系列。低功耗肖特基(schottky)系列。PCC=2mW,tPd=9ns74系列開頭的是民用產(chǎn)品,54系列開頭的是軍用產(chǎn)品。TTL產(chǎn)品系列67chapter0電源要求電源電壓有:額定電源電壓和極限電源電壓額定電源電壓指正常工作時(shí)電源電壓的允許大?。篢TL電路為5±5%(54系列為5±10%);CMOS電路為3~15V(4000B系列為3~18V)極限電源電壓指超過該電源電壓器件將永久損壞:TTL電路為7V;4000系列CMOS電路為18V。68chapter0輸入電壓要求輸入高電平電壓應(yīng)大于UIHmin而小于電源電壓;輸入低電平應(yīng)大于0而小于UILmax輸入電平小于0或大于電源電壓將有可能損壞集成電路。輸出負(fù)載要求除OC門和三態(tài)門外普通門電路輸出不能并聯(lián);否則可能燒壞器件。門電路的輸出帶同類門的個(gè)數(shù)不得超過扇出系數(shù),否則可能造成狀態(tài)不穩(wěn)定;在速度高時(shí)帶負(fù)載數(shù)盡可能少。69chapter0多余輸入端的處理與非門的多余輸入端應(yīng)接高電平,或非門的多余輸入端應(yīng)接低電平,以保證正常的邏輯功能。1)與非門的不用輸入端的處理AB&懸空AB&2)或非門的不用輸入端的處理≥1ABAB&+VCC≥1AB70chapter0§7.3組合邏輯電路在數(shù)字系統(tǒng)中,可將邏輯電路按邏輯功能劃分為組合邏輯電路和時(shí)序邏輯電路兩大類。組合邏輯電路(CombinationalLogicCircuit)是指該電路在任一時(shí)刻的輸出穩(wěn)定狀態(tài),僅取決于該時(shí)刻的輸入信號(hào),而與輸入信號(hào)作用之前電路所處的狀態(tài)無關(guān)。從電路結(jié)構(gòu)上看,組合邏輯電路僅由門電路組成,電路中無記憶元件,輸入與輸出之間無反饋。71chapter0組合邏輯電路的分析組合邏輯電路的設(shè)計(jì)常用中規(guī)模組合邏輯電路及其應(yīng)用主要內(nèi)容72chapter07.3.1組合邏輯電路的分析(1)由邏輯圖寫出輸出端的邏輯表達(dá)式(2)運(yùn)用邏輯代數(shù)化簡或變換(3)列邏輯狀態(tài)表(4)分析邏輯功能分析步驟:已知邏輯電路確定邏輯功能73chapter02.分析舉例例:分析圖中所示電路的邏輯功能。AB&&&&F(1)由輸入變量A、B開始,按順序?qū)懗龈鬟壿嬮T的輸出,可以得到該電路的邏輯表達(dá)式。解:74chapter0(2)運(yùn)用邏輯代數(shù)基本定律進(jìn)行化簡或變換(3)根據(jù)表達(dá)式列出真值表ABF000011011110(4)說明電路的邏輯功能當(dāng)A、B相異時(shí),輸出為1

,相同時(shí),輸出為0。是異或邏輯關(guān)系。75chapter0例:分析圖中所示電路的邏輯功能。AB&&F&11解:(1)根據(jù)已知邏輯電路圖寫出邏輯表達(dá)式76chapter0(2)運(yùn)用邏輯代數(shù)基本定律進(jìn)行化簡或變換(3)根據(jù)表達(dá)式列出真值表ABF001011011001(4)說明電路的邏輯功能當(dāng)A、B相同時(shí),輸出為1,相異時(shí),輸出為0。是同或邏輯關(guān)系。77chapter0例:如圖所示電路,試寫出其輸出S、G的邏輯表達(dá)式,并說明這是什么電路?78chapter0解:1、根據(jù)邏輯電路圖寫輸出表達(dá)式并化簡G=AB+BC+AC2、列出真值表ABCSG000000011001010011011001010101110011111179chapter03、判斷電路功能這是一個(gè)1位二進(jìn)制全加器,A、B是被加數(shù)和加數(shù),C是低位來的進(jìn)位,S是本位的和,G是向高位發(fā)出的進(jìn)位。80chapter0加法器:實(shí)現(xiàn)二進(jìn)制加法運(yùn)算的電路進(jìn)位如:0

0

0

0

11+10101010不考慮低位來的進(jìn)位半加器實(shí)現(xiàn)要考慮低位來的進(jìn)位全加器實(shí)現(xiàn)二進(jìn)制:0,1兩個(gè)數(shù)碼,“逢二進(jìn)一”。81chapter0AB兩個(gè)輸入表示兩個(gè)同位相加的數(shù)兩個(gè)輸出SC表示本位的和表示向高位的進(jìn)位半加器:半加:實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)相加,不考慮來自低位的進(jìn)位。輸入Ai表示兩個(gè)同位相加的數(shù)BiCi-1表示低位來的進(jìn)位全加:實(shí)現(xiàn)兩個(gè)一位二進(jìn)制數(shù)相加,且考慮來自低位的進(jìn)位。全加器:82chapter0加法器1位全加器邏輯符號(hào)74LS183P21383chapter07.3.2組合邏輯電路的設(shè)計(jì)根據(jù)邏輯功能要求邏輯電路設(shè)計(jì)(1)由邏輯要求,列出邏輯狀態(tài)表(2)由邏輯狀態(tài)表寫出邏輯表達(dá)式(3)化簡和變換邏輯表達(dá)式(4)畫出邏輯圖設(shè)計(jì)步驟如下:84chapter0例1:某工廠有A、B、C三個(gè)車間和一個(gè)自備電站,站內(nèi)有兩臺(tái)發(fā)電機(jī)G1和G2。G1的容量是G2的兩倍。如果一個(gè)車間開工,只需G2運(yùn)行即可滿足要求;如果兩個(gè)車間開工,只需G1運(yùn)行;如果三個(gè)車間同時(shí)開工,則G1和G2均需運(yùn)行。試畫出控制G1和G2運(yùn)行的邏輯圖。

設(shè):A、B、C分別表示三個(gè)車間的開工狀態(tài):

開工為“1”,不開工為“0”;

G1和G2運(yùn)行為“1”,不運(yùn)行為“0”。(1)根據(jù)邏輯要求列狀態(tài)表

首先假設(shè)邏輯變量取“0”、“1”的含義。85chapter0邏輯要求:如果一個(gè)車間開工,只需G2運(yùn)行即可滿足要求;如果兩個(gè)車間開工,只需G1運(yùn)行;如果三個(gè)車間同時(shí)開工,則G1和G2均需運(yùn)行。開工“1”不開工“0”運(yùn)行“1”不運(yùn)行“0”0111001010001101101001010011100110111000ABC

G1G286chapter0(2)由狀態(tài)表寫出邏輯表達(dá)式(3)化簡邏輯式可得:10100101001110011011100001110010ABC

G1

G2100011011、在狀態(tài)表上找出輸出為1的行;2、將這一行中所有自變量寫成乘積項(xiàng),當(dāng)變量的真值為“1”時(shí)寫為原變量,當(dāng)變量的真值為“0”時(shí)寫為原變量的反變量;3、將所有乘積項(xiàng)邏輯加,便得到邏輯函數(shù)表達(dá)式。87chapter0(4)用“與非”門構(gòu)成邏輯電路AB&&&&G1C88chapter0ABG2C&&&&&89chapter0例2:設(shè)計(jì)三人表決電路(A、B、C)。每人一個(gè)按鍵,如果同意則按下,不同意則不按。結(jié)果用指示燈表示,多數(shù)同意時(shí)指示燈亮,否則不亮。首先確定邏輯變量取0、1的含義:A、B、C分別表示三人按鍵的狀態(tài),鍵按下時(shí)為“1”,不按時(shí)為“0”。F表示指示燈的亮滅,燈亮為“1”,不亮為“0”。邏輯要求:兩個(gè)人(包括兩個(gè)人)以上同意,指示燈亮。01110010101001010011100110111000ABC

F

(1)根據(jù)邏輯要求列狀態(tài)表90chapter0(2)由狀態(tài)表寫出邏輯式(3)、化簡邏輯式可得:(4)、用與非門實(shí)現(xiàn)邏輯函數(shù)91chapter0&&&&ABCF(5)畫電路圖92chapter0旅客列車分特快、直快和普快,并依此為優(yōu)先通行次序。某站在同一時(shí)間只能有一趟列車從車站開出,即只能給出一個(gè)開車信號(hào)。試畫出滿足上述要求的邏輯電路(用與非門實(shí)現(xiàn))。設(shè)A、B、C分別代表特快、直快、普快,開車信號(hào)分別為YA、YB、YC。進(jìn)站出站直快普快特快思考:93chapter0解:1、根據(jù)邏輯要求寫出狀態(tài)表A、B、C1—出站0—不出站YA、YB、YC1—亮0—滅ABCYAYBYC0000000010010100100110101001001011001101001111002、寫邏輯表達(dá)式94chapter03、化簡邏輯表達(dá)式并轉(zhuǎn)換4、畫出邏輯電路圖95chapter07.3.3常用中規(guī)模組合邏輯電路及其應(yīng)用數(shù)據(jù)選擇器加法器編碼器譯碼器96chapter0數(shù)據(jù)選擇器在數(shù)字電路中,當(dāng)需要進(jìn)行遠(yuǎn)距離多路數(shù)字傳輸時(shí),為了減少傳輸線的數(shù)目,發(fā)送端常通過一條公共傳輸線,用多路選擇器分時(shí)發(fā)送數(shù)據(jù)到接收端,接收端利用多路分配器分時(shí)將數(shù)據(jù)分配給各路接收端,其原理如圖所示。使能端數(shù)據(jù)選擇器數(shù)據(jù)分配器發(fā)送端接收端IYD0D1D2D3SA1A0傳輸線A0A1D0D1D2D3S數(shù)據(jù)選擇控制數(shù)據(jù)分配控制97chapter01)四選一數(shù)據(jù)選擇器常用的MSI數(shù)據(jù)選擇器(Multiplexer,簡稱MUX)有四選一數(shù)據(jù)選擇器、八選一數(shù)據(jù)選擇器輸入數(shù)據(jù)輸出數(shù)據(jù)使能端D0D1D2D3WEA1A0選擇控制端98chapter04選1數(shù)據(jù)選擇器74LS153四選一MUX的功能表使能選通輸出EA0A1W10000001100110D3D2D1D0ED0D1D2D3A0A1WMUX邏輯符號(hào)99chapter04選1數(shù)據(jù)選擇器輸出邏輯函數(shù)74LS153邏輯電路圖100chapter02)八選一數(shù)據(jù)選擇器ENWMUXA0A1A2D0D1D2D3D4D5D6D7邏輯符號(hào)101001010011100110111000D0D1D2D3D4D5D6D7×××0100000000功能表101chapter0

EN=1時(shí),選擇器禁止工作W=0;

EN=0時(shí),選擇器工作101001010011100110111000D0D1D2D3D4D5D6D7×××0100000000功能表102chapter0數(shù)據(jù)選擇器的應(yīng)用1)對(duì)多路數(shù)據(jù)進(jìn)行選擇2)實(shí)現(xiàn)邏輯函數(shù)103chapter0例、用四選一數(shù)據(jù)選擇器,實(shí)現(xiàn)函數(shù)解:將F與Y比較,令A(yù)1=A,A0=B,Y=F,(1)寫出4選1數(shù)據(jù)選擇器的輸出函數(shù)等式左右相等,可推出D1=D2=1D0=D3=0(2)接線圖104chapter0用8選1數(shù)據(jù)選擇器實(shí)現(xiàn)(1)寫出8選1數(shù)據(jù)選擇器的輸出函數(shù)(2)將F轉(zhuǎn)換為與或表達(dá)式將F與Y比較,令A(yù)2=A,A1=B,A0=C,F(xiàn)=Y例:分別用8選1數(shù)據(jù)選擇器和4選1數(shù)據(jù)選擇器實(shí)現(xiàn)邏輯函數(shù)F=A⊕B⊕C解:105chapter0等式左右相等,可推出D1=D2=D4=D7=1D0=D3=D5=D6=0(3)接線圖106chapter0用4選1數(shù)據(jù)選擇器實(shí)現(xiàn)(1)寫出4選1數(shù)據(jù)選擇器的輸出函數(shù)(2)將F轉(zhuǎn)換為與或表達(dá)式將F與Y比較,令A(yù)1=A,A0=B,F(xiàn)=Y等式左右兩邊相等得:107chapter0(3)接線圖108chapter0編碼器在數(shù)字系統(tǒng)中為了區(qū)分一系列不同的事物,總是將每個(gè)事物用二進(jìn)制代碼表示,這種用二進(jìn)制代碼表示某種信息的過程稱為編碼。實(shí)現(xiàn)編碼功能的電路稱為編碼器。編碼器的邏輯功能:把輸入的高、低電平信號(hào)編成一個(gè)對(duì)應(yīng)的二進(jìn)制代碼。109chapter0編碼器功能示意圖高低電平二進(jìn)制代碼n個(gè)信號(hào)m位二進(jìn)制代碼滿足條件:n≤2m普通優(yōu)先二進(jìn)制二-十進(jìn)制110chapter074LS148優(yōu)先編碼器74LS147優(yōu)先編碼器1615141312111091234567874LS4147P216111chapter0譯碼器譯碼是編碼的反過程,它是將代碼的組合譯成一個(gè)特定的輸出信號(hào)。譯碼器代碼高低電平分類:二進(jìn)制譯碼器,又稱為n-2n線譯碼器二-十進(jìn)制譯碼器顯示譯碼器P218112chapter0譯碼器74LS139P217113chapter0圖中所示為7段字符顯示器。它由7個(gè)條形發(fā)光二極管構(gòu)成,另外有一個(gè)點(diǎn)形LED顯示小數(shù)點(diǎn)。連接方式有共陰極連接和共陽極連接。對(duì)于共陰極連接,陽極為高電平地那個(gè)字段發(fā)亮,將發(fā)亮字段組合起來便可以顯示0~9十個(gè)數(shù)字。對(duì)于共陽極連接,陰極為低電平的那個(gè)字段發(fā)亮。114chapter0七段顯示譯碼器的設(shè)計(jì)七段顯示譯碼器的輸入是BCD碼,輸出為a~g,用來驅(qū)動(dòng)七段顯示器。所以應(yīng)該設(shè)計(jì)成8421BCD碼4-7線譯碼器,其框圖如下。115chapter0共陰極LED真值表如下:116chapter0顯示譯碼器74LS49P219117chapter0§7.4集成觸發(fā)器觸發(fā)器(FlipFlop):能夠存儲(chǔ)一個(gè)“0”或“1”的基本存儲(chǔ)單元電路。FQQ一個(gè)或多個(gè)輸入觸發(fā)器的框圖Q端的狀態(tài)代表觸發(fā)器的狀態(tài)Q=1時(shí)稱觸發(fā)器處于”1”態(tài)Q=0時(shí)稱觸發(fā)器處于”0”態(tài)118chapter0兩個(gè)基本特點(diǎn):1、一定的輸入信號(hào)可以使觸發(fā)器置于“0”態(tài)或“1”態(tài);2、去掉輸入信號(hào)以后,觸發(fā)器的狀態(tài)能長期保存,直至有新的輸入信號(hào)使其改變狀態(tài)為止。FQQ一個(gè)或多個(gè)輸入按功能分類:R-S觸發(fā)器、J-K觸發(fā)器、D觸發(fā)器、T觸發(fā)器等。我們?cè)趯W(xué)習(xí)過程中,只需了解各種觸發(fā)器的基本工作原理,但要重點(diǎn)掌握它們的邏輯功能,以便能正確的使用它們。119chapter0一、基本RS觸發(fā)器兩互補(bǔ)輸出端兩輸入端&QQ.G1&.G2SDRD反饋線與非門120chapter0觸發(fā)器輸出與輸入的邏輯關(guān)系1001設(shè)觸發(fā)器原態(tài)為“1”態(tài)。翻轉(zhuǎn)為“0”態(tài)(1)SD=1,RD=01010&QQ.G1&.G2SDRD121chapter0設(shè)原態(tài)為“0”態(tài)1001110觸發(fā)器保持“0”態(tài)不變復(fù)位端0結(jié)論:不論觸發(fā)器原來為何種狀態(tài),當(dāng)SD=1,

RD=0時(shí),

將使觸發(fā)器置“0”或稱為復(fù)位。&QQ.G1&.G2SDRD122chapter001設(shè)原態(tài)為“0”態(tài)011100翻轉(zhuǎn)為“1”態(tài)(2)SD=0,RD=1&QQ.G1&.G2SDRD123chapter0設(shè)原態(tài)為“1”態(tài)0110001觸發(fā)器保持“1”態(tài)不變置位端1結(jié)論:不論觸發(fā)器原來為何種狀態(tài),當(dāng)SD=0,

RD=1時(shí),

將使觸發(fā)器置“1”或稱為置位。&QQ.G1&.G2SDRD124chapter011設(shè)原態(tài)為“0”態(tài)010011保持為“0”態(tài)(3)SD=1,RD=1&QQ.G1&.G2SDRD125chapter0設(shè)原態(tài)為“1”態(tài)1110001觸發(fā)器保持“1”態(tài)不變1當(dāng)SD=1,

RD=1時(shí),觸發(fā)器保持原來的狀態(tài),

即觸發(fā)器具有保持、記憶功能。&QQ.G1&.G2SDRD126chapter01100(4)SD=0,RD=0&QQ.G1&.G2SDRD禁止加該信號(hào)127chapter0低電平有效邏輯符號(hào)QQSDRD基本R-S觸發(fā)器狀態(tài)表SDRDQn+1100置0011置111Qn保持00不確定(應(yīng)禁止)功能現(xiàn)在狀態(tài)(現(xiàn)態(tài))Qn:電路在某個(gè)考查瞬間時(shí)所處的狀態(tài)。下一狀態(tài)(次態(tài))Qn+1:電路在考查瞬間時(shí)的輸入組合作用下,將要達(dá)到的那個(gè)新的狀態(tài),即下一個(gè)考查瞬間時(shí)所處的狀態(tài)。128chapter0二、鐘控RS觸發(fā)器基本R-S觸發(fā)器控制電路時(shí)鐘脈沖129chapter0.&G1&G2.SDRDQQ&G4SR&G3C

SD,RD用于預(yù)置觸發(fā)器的初始狀態(tài)。工作過程中應(yīng)處于高電平,對(duì)電路工作狀態(tài)無影響。SDRDQ10001111130chapter0當(dāng)C=0時(shí)011

R,S輸入狀態(tài)不起作用。

基本R-S觸發(fā)器狀態(tài)不變.&G1&G2.SDRDQQ&G4SR&G3C被封鎖被封鎖131chapter0當(dāng)C=1時(shí)1打開觸發(fā)器狀態(tài)由R,S輸入狀態(tài)決定。11打開觸發(fā)器的翻轉(zhuǎn)時(shí)刻受C控制(C高電平時(shí)翻轉(zhuǎn)),而觸發(fā)器的狀態(tài)由R,S的狀態(tài)決定。.&G1&G2.SDRDQQ&G4SR&G3C132chapter0當(dāng)C=1時(shí)1打開(1)S=0,R=00011觸發(fā)器保持原態(tài)觸發(fā)器狀態(tài)由R,S輸入狀態(tài)決定。11打開.&G1&G2.SDRDQQ&G4SR&G3C133chapter01101010(2)S=0,R=1觸發(fā)器置“0”11.&G1&G2.SDRDQQ&G4SR&G3C134chapter01010101(3)S=1,R=011.&G1&G2.SDRDQQ&G4SR&G3C觸發(fā)器置“1”135chapter01110011(4)S=1,R=1.&G1&G2.SDRDQQ&G4SR&G3C禁止加該信號(hào)136chapter0RSQn+100Qn10001111禁止邏輯狀態(tài)表Qn—時(shí)鐘到來前觸發(fā)器的狀態(tài)Qn+1—時(shí)鐘到來后觸發(fā)器的狀態(tài)C高電平時(shí)觸發(fā)器狀態(tài)由R、S確定137chapter0保證三個(gè)觸發(fā)器同時(shí)動(dòng)作138chapter0C例:畫出鐘控R-S觸發(fā)器的輸出波形RDSRQRSQn+100Qn10001111禁止邏輯狀態(tài)表空翻現(xiàn)象:在一個(gè)時(shí)鐘脈沖期間觸發(fā)器翻轉(zhuǎn)一次以上。SD1139chapter0觸發(fā)方式:是指觸發(fā)器在時(shí)鐘脈沖的什么階段才有可能接受輸入信號(hào),來改變狀態(tài)。主要有兩種觸發(fā)方式:電位觸發(fā)方式邊沿觸發(fā)方式在約定鐘控信號(hào)電平(CP=1或CP=0)期間,觸發(fā)器接受輸入信號(hào),并根據(jù)輸入信號(hào)來改變狀態(tài)。而在非約定鐘控信號(hào)期間,不論信號(hào)如何變化,都不影響輸出。觸發(fā)器僅在CP某一約定跳變到來時(shí),才接受信號(hào)在CP=0和CP=1時(shí),輸入信號(hào)的變化不會(huì)引起觸發(fā)器輸出狀態(tài)的變化。CP140chapter0三、JK觸發(fā)器狀態(tài)表邏輯符號(hào)邊沿觸發(fā)(保持功能)(置“0”功能)(置“1”功能)(計(jì)數(shù)功能)SD、RD為直接置1、置0端,不受時(shí)鐘控制,低電平有效,觸發(fā)器工作時(shí)SD、RD應(yīng)接高電平。141chapter0J-K觸發(fā)器工作波形圖舉例0CPJKQ置1置0翻轉(zhuǎn)翻轉(zhuǎn)設(shè)觸發(fā)器的初始狀態(tài)Q=0下降沿觸發(fā)142chapter0CPD(J)KQ設(shè)觸發(fā)器的初始狀態(tài)Q=0上升沿觸發(fā)143chapter0四、D觸發(fā)器邏輯符號(hào)DQn+10011邏輯狀態(tài)表五、T觸發(fā)器TQn+10Qn1Qn邏輯狀態(tài)表邏輯符號(hào)144chapter0例:時(shí)鐘CP及輸入信號(hào)D的波形如圖所示,試畫出各觸發(fā)器輸出端Q的波形,設(shè)各輸出端Q的初始狀態(tài)=0.145chapter0CPDQ1DQn+10011邏輯狀態(tài)表上升沿觸發(fā)146chapter0CPDQ2DQn+10011邏輯狀態(tài)表下降沿觸發(fā)147chapter0消除抖動(dòng)電路QSDRD+VCCSRKSRQSDRDQ100置0011置111不變保持功能觸發(fā)器應(yīng)用舉例148chapter074LS175管腳排列圖1615141312111091234567874LS175VccQ1GNDD1D2Q2CPQ3D3D4Q4

CPDQn+1

011100×↑↑×74LS175邏輯狀態(tài)表10149chapter0CLR四人搶答電路4300LEDC1Q1Q&G174LS175S141M+5VS2S3S4&G3&G2+5V83DG10010KC1D2D3D4D2Q4Q4Q3Q3Q2Q工作原理:搶答前清“0”0000截止0150chapter04300LEDCRD1Q1Q&G174LS175S141M+5VS2S3S4&G3&G2+5V83DG10010KC1D2D3D4D2Q4Q4Q3Q3Q2Q搶答開始,若S1先被按下,1D=“1”1000亮01導(dǎo)通響0封鎖各觸發(fā)器狀態(tài)保持不變。151chapter0§7.5時(shí)序邏輯電路組合邏輯電路:任一時(shí)刻的輸出僅取決于該時(shí)刻的輸入,而與過去的輸入輸出無關(guān)。時(shí)序邏輯電路:任一時(shí)刻的輸出不僅取決于該時(shí)刻的輸入,而與過去的狀態(tài)有關(guān)。數(shù)字邏輯電路根據(jù)其工作特點(diǎn)和結(jié)構(gòu)的不同可分為兩大類:152chapter0CPqk·q1···········x1xnz1zmw1wP輸入X輸出Z}組合電路存儲(chǔ)電路}時(shí)序電路的結(jié)構(gòu)框圖外部輸出或外部狀態(tài)內(nèi)部狀態(tài)或內(nèi)部信號(hào)各觸發(fā)器的激勵(lì)信號(hào)153chapter07.5.1寄存器寄存器是數(shù)字系統(tǒng)常用的邏輯部件,它用來存放數(shù)碼或指令等。它由觸發(fā)器和門電路組成。一個(gè)觸發(fā)器只能存放一位二進(jìn)制數(shù),存放n位二進(jìn)制時(shí),要n個(gè)觸發(fā)器。按功能分?jǐn)?shù)碼寄存器移位寄存器154chapter0僅有寄存數(shù)碼的功能。數(shù)碼寄存器:清零寄存指令00001101寄存數(shù)碼1101RD..QDF0d0.Q.DF1d1.d2Q.DF2QDF3d3&Q0&Q1&Q2&Q3觸發(fā)器狀態(tài)不變?nèi)?shù)指令1101155chapter0不僅能寄存數(shù)碼,還有移位的功能。所謂移位,就是每來一個(gè)移位脈沖,寄存器中所寄存的數(shù)據(jù)就向左或向右順序移動(dòng)一位。按移位方式分類單向移位寄存器雙向移位寄存器移位寄存器:寄存器左移(a)寄存器右移(b)寄存器雙向移位(c)156chapter01001移位脈沖2341001000000157chapter07.5.2計(jì)數(shù)器計(jì)數(shù)器是數(shù)字電路和計(jì)算機(jī)中廣泛應(yīng)用的一種邏輯部件,可累計(jì)輸入脈沖的個(gè)數(shù),可用于定時(shí)、分頻、時(shí)序控制等。0000計(jì)數(shù)器CPQ1Q0Q2Q30001001000110100010101100111100010011010101111001101111011110000二進(jìn)制計(jì)數(shù)器158chapter00000計(jì)數(shù)器CPQ1Q0Q2Q30001001000110100010101100111100010010000十進(jìn)制計(jì)數(shù)器0000計(jì)數(shù)器CPQ1Q0Q2Q30001001000110100010101100111****0000N進(jìn)制計(jì)數(shù)器159chapter00000計(jì)數(shù)器CPQ1Q0Q2Q30001001000110100010101100000加法計(jì)數(shù)器0000計(jì)數(shù)器CPQ1Q0Q2Q30110減法計(jì)數(shù)器010101000011001000010000160chapter0觸發(fā)器觸發(fā)器觸發(fā)器觸發(fā)器Q3Q2Q1Q0CP觸發(fā)器觸發(fā)器觸發(fā)器觸發(fā)器Q3Q2Q1Q0CP異步計(jì)數(shù)器同步計(jì)數(shù)器161chapter0分類加法計(jì)數(shù)器減法計(jì)數(shù)器可逆計(jì)數(shù)器(按計(jì)數(shù)功能)異步計(jì)數(shù)器同步計(jì)數(shù)器(按計(jì)數(shù)脈沖引入方式)

二進(jìn)制計(jì)數(shù)器十進(jìn)制計(jì)數(shù)器

N

進(jìn)制計(jì)數(shù)器(按計(jì)數(shù)制)162chapter0計(jì)數(shù)器一、分析用穩(wěn)態(tài)觸發(fā)器構(gòu)成的時(shí)序電路(計(jì)數(shù)器)如:JK觸發(fā)器、D觸發(fā)器二、用各種類型的中規(guī)模集成計(jì)數(shù)器構(gòu)成任意進(jìn)制計(jì)數(shù)器會(huì)分析會(huì)設(shè)計(jì)163chapter0分析步驟:1)首先判斷是同步還是異步;2)根據(jù)所給電路圖寫出各觸發(fā)器輸入端的邏輯表達(dá)式;3)根據(jù)邏輯表達(dá)式和觸發(fā)器的邏輯功能,列寫邏輯狀態(tài)轉(zhuǎn)換表。由初始狀態(tài)分析,直至初始狀態(tài)再次出現(xiàn)為止;4)說明計(jì)數(shù)器的功能,即是幾進(jìn)制數(shù)。一、用雙穩(wěn)態(tài)觸發(fā)器構(gòu)成的計(jì)數(shù)器164chapter0分析圖示電路是幾進(jìn)制計(jì)數(shù)器。解:1)各級(jí)觸發(fā)器的時(shí)鐘來源不同,除第一級(jí)時(shí)鐘脈沖輸入端由外加計(jì)數(shù)脈沖控制外,其余各級(jí)時(shí)鐘脈沖輸入端與前一級(jí)的輸出端相連。各觸發(fā)器動(dòng)作時(shí)刻不一致,是異步計(jì)數(shù)器。例如:165chapter02)根據(jù)所給電路圖寫出各觸發(fā)器輸入端的邏輯表達(dá)式J2=K2=1J1=K1=1J0=K0=13)根據(jù)邏輯表達(dá)式和觸發(fā)器的邏輯功能,列寫邏輯狀態(tài)轉(zhuǎn)換表注意各觸發(fā)器的動(dòng)作時(shí)刻每來一個(gè)計(jì)數(shù)脈沖,Q0輸出翻轉(zhuǎn)一次;當(dāng)Q0由1變0時(shí),Q1輸出翻轉(zhuǎn)一次;當(dāng)Q1由1變0時(shí),Q2輸出翻轉(zhuǎn)一次。166chapter0J2K2J1K1J0K0000111111001001111111010010111111011011111111100100111111101101111111110110111111111111111111000111111001000167chapter02分頻4分頻8分頻每個(gè)觸發(fā)器翻轉(zhuǎn)的時(shí)間有先后,與計(jì)數(shù)脈沖不同步C12345678Q0Q1Q2168chapter00001000110010101011101114)狀態(tài)轉(zhuǎn)移圖5)綜上分析,該電路為異步八進(jìn)制(加法)計(jì)數(shù)器。169chapter0分析圖示電路是幾進(jìn)制計(jì)數(shù)器。例:解:1)由圖可見是一個(gè)同步計(jì)數(shù)器,CP下降沿觸發(fā)。2)列寫輸入端的邏輯表達(dá)式:J2=K2=Q1Q0J1=K1=Q0J0=K0=1170chapter0Q0:來一個(gè)CP,翻轉(zhuǎn)一次;Q1:當(dāng)Q0=1時(shí),翻轉(zhuǎn)一次;Q2:只有當(dāng)Q1Q0=1時(shí),翻轉(zhuǎn)一次。171chapter0CPQ2nQ1nQ0nJ2K2J1K1J0K0Q2n+1

Q1n+1

Q0

n+1

Q1Q0Q1Q0Q0Q0初態(tài)控制端次態(tài)000001010011100101110111001010011100101110111000000011001111000011111111000011001111000011111111123456783)列寫狀態(tài)轉(zhuǎn)換表,分析其狀態(tài)轉(zhuǎn)換過程。11172chapter00001000110010101011101114)狀態(tài)轉(zhuǎn)移圖5)綜上分析,該電路為同步八進(jìn)制(加法)計(jì)數(shù)器。173chapter01)CP同時(shí)加到觸發(fā)器F0和F2的脈沖輸入端,而F1的脈沖輸入端與Q0相連,因而是異步計(jì)數(shù)器。分析圖示電路是幾進(jìn)制計(jì)數(shù)器。例:解:2)寫出輸入端的邏輯表達(dá)式J2=Q1Q0,K2=1

J1=K1=1

J0=Q2,K0=1

3)列寫狀態(tài)轉(zhuǎn)換表,分析其狀態(tài)轉(zhuǎn)換過程174chapter0CPQ2nQ1nQ0nJ2K2J1K1J0K0Q2n+1

Q1n+1

Q0

n+1

初態(tài)控制端次態(tài)Q1Q0Q21111123450000010100111000111110111110111111111110111010010100111000004)檢驗(yàn)其能否自動(dòng)啟動(dòng)?另有三種狀態(tài)111、110、101是否在計(jì)數(shù)循環(huán)內(nèi),如果這些狀態(tài)經(jīng)若干個(gè)時(shí)鐘脈沖能夠進(jìn)入計(jì)數(shù)循環(huán),稱為能夠自行啟動(dòng)。

111111101000

110011101010101011101010175chapter0結(jié)論:經(jīng)檢驗(yàn),可以自動(dòng)啟動(dòng)。000100011001010101110111

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論