交通燈控制電路設(shè)計(jì)說明_第1頁
交通燈控制電路設(shè)計(jì)說明_第2頁
交通燈控制電路設(shè)計(jì)說明_第3頁
交通燈控制電路設(shè)計(jì)說明_第4頁
交通燈控制電路設(shè)計(jì)說明_第5頁
已閱讀5頁,還剩8頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

..XX經(jīng)濟(jì)學(xué)院信息工程學(xué)院項(xiàng)目實(shí)訓(xùn)報(bào)告實(shí)訓(xùn)項(xiàng)目名稱:交通信號燈控制器設(shè)計(jì)項(xiàng)目實(shí)訓(xùn)時(shí)間:20XX3月4日至20XX4月12日實(shí)訓(xùn)指導(dǎo)專業(yè)〔方向:電子信息工程〔軟件方向年級:2009級班級:姓名:學(xué)號:20XX4月8日項(xiàng)目實(shí)訓(xùn)須知一、安全第一。學(xué)生必須嚴(yán)格遵守國家政策法令及學(xué)校的有關(guān)規(guī)章制度,嚴(yán)格遵守實(shí)訓(xùn)考勤制度和勞動紀(jì)律,嚴(yán)格遵守工作規(guī)程。二、項(xiàng)目實(shí)訓(xùn)報(bào)告按實(shí)訓(xùn)的實(shí)際情況編寫,著重工程項(xiàng)目的需求分析、工程結(jié)構(gòu)圖〔E-R圖或拓?fù)鋱D、設(shè)計(jì)實(shí)施、測試修改、總結(jié)收獲等。三、項(xiàng)目實(shí)訓(xùn)報(bào)告的主體內(nèi)容要求思路清晰,合乎邏輯,用語簡潔準(zhǔn)確;內(nèi)容務(wù)求客觀、科學(xué),要盡量讓事實(shí)和數(shù)據(jù)說話。凡是用簡要的文字能夠講清楚的內(nèi)容,應(yīng)用文字陳述。用文字不容易說明白或說起來比較繁瑣的,應(yīng)用表或圖來陳述。四、項(xiàng)目實(shí)訓(xùn)總結(jié)是實(shí)訓(xùn)過程的總體結(jié)論,主要回答"收獲和體會是什么",是項(xiàng)目實(shí)訓(xùn)成果的歸納和總結(jié),也包括指出項(xiàng)目的不足或待完善改進(jìn)的地方。五、項(xiàng)目實(shí)訓(xùn)報(bào)告版面要求:字?jǐn)?shù)不少于5000字。用Word排版及標(biāo)準(zhǔn)A4打印紙進(jìn)行打印。頁面上、下、右邊距均為2.5厘米,左邊距為3厘米。報(bào)告正文部分行間距為"1.5倍行距"。正文部分文字采用宋體、小四號,正文中一級標(biāo)題采用宋體、四號、加粗,二級標(biāo)題采用宋體、小四加粗,三級以后標(biāo)題均采用宋體、小四。六、項(xiàng)目實(shí)訓(xùn)結(jié)束后需按要求上交本報(bào)告及完整的項(xiàng)目工程文件。七、項(xiàng)目實(shí)訓(xùn)成績依據(jù)學(xué)生的實(shí)訓(xùn)表現(xiàn)、完成的工程項(xiàng)目質(zhì)量、撰寫報(bào)告等方面的綜合情況,由指導(dǎo)教師進(jìn)行成績評定。成績按優(yōu)秀、良好、中等、及格、不及格五級制評定。不參加實(shí)訓(xùn)的,或不按要求填寫本報(bào)告的,項(xiàng)目實(shí)訓(xùn)成績以不合格計(jì)。一、項(xiàng)目實(shí)訓(xùn)目的當(dāng)今時(shí)代是一個(gè)自動化時(shí)代,交通燈控制等很多行業(yè)的設(shè)備都與計(jì)算機(jī)密切相關(guān)。因此,一個(gè)好的交通燈控制系統(tǒng),將給道路擁擠、違章控制等方面給予技術(shù)革新。隨著大規(guī)模集成電路及計(jì)算機(jī)技術(shù)的迅速發(fā)展,以及人工智能在控制技術(shù)方面的廣泛運(yùn)用,智能設(shè)備有了很大的發(fā)展,是現(xiàn)代科技發(fā)展的主流方向。EDA技術(shù)的一個(gè)重要特征就是使用硬件描述語言<HDL>來完成系統(tǒng)的設(shè)計(jì)文件,應(yīng)用VHDL的數(shù)字電路實(shí)驗(yàn)降低了數(shù)字系統(tǒng)的設(shè)計(jì)難度,這在電子設(shè)計(jì)領(lǐng)域已得到設(shè)計(jì)者的廣泛采用。本設(shè)計(jì)就是針對交通信號燈控制器的設(shè)計(jì)問題,提出了基于VHDL語言的交通信號燈系統(tǒng)的硬件實(shí)現(xiàn)方法。通過對系統(tǒng)進(jìn)行結(jié)構(gòu)分析,采用了層次化的設(shè)計(jì)方法,給出了各個(gè)模塊的VHDL程序,并且利用MaxPlusⅡ?qū)?yīng)用程序進(jìn)行了仿真,并給出了相應(yīng)的仿真結(jié)果。在用VHDL語言進(jìn)行電路設(shè)計(jì)時(shí),應(yīng)充分認(rèn)識到VHDL語言的特點(diǎn),從設(shè)計(jì)思想、語句運(yùn)用及描述方法上等多方面對電路進(jìn)行優(yōu)化設(shè)計(jì)。通過電路優(yōu)化設(shè)計(jì),可以使用規(guī)模更小的可編程邏輯芯片,從而降低系統(tǒng)成本。本課程設(shè)計(jì)是在學(xué)完EDA原理及課程之后綜合利用所學(xué)EDA知識完成一個(gè)EDA應(yīng)用系統(tǒng)設(shè)計(jì)并在實(shí)驗(yàn)室實(shí)現(xiàn)。該課程設(shè)計(jì)的主要任務(wù)是通過解決實(shí)際問題,鞏固和加深"電子設(shè)計(jì)自動化應(yīng)用技術(shù)"課程中所學(xué)的理論知識和實(shí)驗(yàn)?zāi)芰?基本掌握基于VHDL語言應(yīng)用電路的一般設(shè)計(jì)方法,提高電子電路的設(shè)計(jì)和實(shí)驗(yàn)?zāi)芰Α6?、?xiàng)目實(shí)訓(xùn)內(nèi)容〔需求分析、E-R圖或拓?fù)鋱D、設(shè)計(jì)實(shí)施、測試修改等1、總體思路一般情況下十字路口東西方向和南北方向的車流量不同,所以為各方向分配的時(shí)間也不同。顯然,分三步控制不能達(dá)到讓各方向時(shí)間不同的目的,所以我們選擇分四個(gè)步驟:<1>南北方向綠燈亮60秒,東西方向紅燈亮60秒;<2>南北方向黃燈亮4秒,東西方向繼續(xù)亮紅4秒;<3>南北方向紅燈亮30秒,東西方向綠燈亮30秒;<4>南北方向繼續(xù)紅燈,東西方向黃燈亮4秒。流程如下圖所示:::4s〔紅燈60s〔紅燈東西30s〔黃燈〔4s60s綠燈〔南北::4s〔紅燈60s〔紅燈東西30s〔黃燈〔4s60s綠燈〔南北紅燈紅燈〔4s紅燈紅燈〔4s綠燈〔30s黃燈〔4s綠燈〔30s黃燈〔4s我們可以用兩個(gè)十進(jìn)制減法計(jì)數(shù)器做一個(gè)100進(jìn)制以內(nèi)的倒計(jì)時(shí)器,當(dāng)計(jì)數(shù)到零時(shí),發(fā)出一個(gè)借位信號給一個(gè)四進(jìn)制步驟控制器,步驟控制器控制邏輯電路輸出下一個(gè)時(shí)間給倒計(jì)時(shí)器的置數(shù)端,如此循環(huán)下去。同時(shí),步驟控制器也控制交通燈的明暗。2、程序控制流程時(shí)間顯示器時(shí)間顯示器秒脈沖減法計(jì)數(shù)器秒脈沖減法計(jì)數(shù)器秒脈沖減法計(jì)數(shù)器秒脈沖減法計(jì)數(shù)器邏輯電路邏輯電路步驟控制器交通燈控制電路步驟控制器交通燈控制電路電路所用元件簡介〔一74ls192十進(jìn)制逆時(shí)計(jì)數(shù)器1、74ls192的引腳圖:2、74ls192的功能表:它的主要功能為:①CPU為加計(jì)數(shù)時(shí)鐘輸入端,CPD為減計(jì)數(shù)時(shí)鐘輸入端。

②LD為預(yù)置輸入控制端,異步預(yù)置。

③CR為復(fù)位輸入端,高電平有效,異步清除。

④CO為進(jìn)位輸出:1001狀態(tài)后負(fù)脈沖輸出,

⑤BO為借位輸出:0000狀態(tài)后負(fù)脈沖輸出?!捕?4ls02或非門1、74ls02的引腳圖:2、74ls02的功能表74ls02引腳功能:實(shí)現(xiàn)2輸入四或非門功能,常用于各種數(shù)字電路中〔三74ls04六非門1、74ls04的引腳圖:2、74ls04的功能:74ls04是6非門<反相器>他的工作電壓5V,他的內(nèi)部含有6個(gè)coms,反相器的作用就是反相把1變成0?!菜?4ls32四2輸入或門1、74ls32的引腳圖:2、74ls32的功能:74ls32是四2輸入或門,常用在各種數(shù)字電路以及單片機(jī)系統(tǒng)中。

表達(dá)式為:Y=AB

引腳排列圖管腳功能:左下1--1A,2--1B,3--1Y;4--2A,5--2B,6--2Y;7--GND;

右起:右上8--3Y,9--3A,10--3B;11--4Y,12--4A,

13--4B;14--VCC

其中A,B為輸入端,Y為輸出端,GND為電源負(fù)極,VCC為電源正極〔五74ls00四2輸入與非門1、74ls00的注腳:2、74ls00的真值表:3、74ls00的功能:74LS00〔二輸入與非、74LS02〔二輸入或非、74LS04〔非、74LS08〔二輸入與、74LS32〔二輸入或在此不做介紹4、總電路設(shè)計(jì)總電路圖如下交通燈控制電路譯碼器發(fā)出的高低電平信號,即為步驟信號,控制著交通燈的明滅。電路圖如下所示:為了實(shí)現(xiàn)交通燈的閃爍功能,接入一個(gè)手動開關(guān),即可在6-22點(diǎn)時(shí)間段輸出高電平信號,在22-6點(diǎn)時(shí)間段輸出低電平信號。該信號與譯碼器的紅綠燈信號一起接到一個(gè)與門,起到開關(guān)的作用,6-22點(diǎn)允許譯碼器的信號通過,22-6點(diǎn)關(guān)閉信號燈。同時(shí),該信號反相后與譯碼器的黃燈信號一起接到一個(gè)或門。6-22點(diǎn)為低電平信號,黃燈由譯碼器的信號控制;22-6點(diǎn)為高電平信號,黃燈一直工作,不論譯碼器是什么信號。而且,控制黃燈的信號和秒脈沖的信號接入一個(gè)與門后,即可實(shí)現(xiàn)黃燈閃爍的功能5、電路安裝與調(diào)試<一>電路安裝考慮到如果整體電路都安裝好后在檢查調(diào)試電路會很麻煩,而且比較難找出問題出在哪,所以我們分步安裝調(diào)試檢查。首先我們確認(rèn)所有元器件都是完好的、芯片無引腳掉落并且工作正常,所有導(dǎo)線無損壞。<二>電路調(diào)試1、調(diào)試方法①通電觀察把經(jīng)過準(zhǔn)確測量的元件接好電路。觀察有無異?,F(xiàn)象,包括有無冒煙,是否有異常氣味,手摸器件是否發(fā)燙,電源是否有短路現(xiàn)象等。如果出項(xiàng)異常,應(yīng)急時(shí)切掉電源,徹底排除故障后才能通電。然后測量各電路總電源電壓和期間的引腳的點(diǎn)電壓,以保證元器件的正常。②靜態(tài)調(diào)試:在沒有外加信號的條件下進(jìn)行調(diào)試。本次課程設(shè)計(jì)主要是通過靜態(tài)測試數(shù)字電路的各輸入端和輸出端的高低電平及其邏輯關(guān)系,可以即時(shí)發(fā)現(xiàn)已經(jīng)損壞的元器件,判斷電路工作情況,并及時(shí)調(diào)整電路參數(shù),是電路工作狀態(tài)符合設(shè)計(jì)要求。③動態(tài)調(diào)試動態(tài)調(diào)試是在靜態(tài)調(diào)試的基礎(chǔ)上進(jìn)行。調(diào)試的方法是在電路的輸入端接入適當(dāng)?shù)念l率和幅值的信號,查看電路工作情況。6、故障分析與電路改進(jìn)<一>常見的故障現(xiàn)象在本次課程設(shè)計(jì)中常見的故障現(xiàn)象:1、計(jì)數(shù)器不能正確計(jì)數(shù);2、電路的延時(shí)現(xiàn)象使兩個(gè)計(jì)數(shù)器不能同時(shí)工作;3、倒計(jì)時(shí)時(shí)間不正確;4、芯片與各接觸點(diǎn)的接觸不良問題;5、計(jì)數(shù)出現(xiàn)紊亂。<二>產(chǎn)生故障的原因故障產(chǎn)生的原因很多,情況也很復(fù)雜,有的是一種原因引起的簡單故障,有的是多種原因相互作用引起的故障。本實(shí)驗(yàn)產(chǎn)生故障的原因主要有以下幾種:1、定型芯片使用異端時(shí)間后出現(xiàn)故障,元器件損壞,連接線路是發(fā)生短路或斷路〔接插件接觸不良;2、新設(shè)計(jì)安裝的電路來說,故障原因可能是,實(shí)際電路與設(shè)計(jì)的原理圖不符;元器件使用不當(dāng)或損壞,設(shè)計(jì)的電路本身就存在某些缺點(diǎn),不滿足技術(shù)要求,連線發(fā)生短路或斷路現(xiàn)象等。3、儀器使用不正引起的故障;4、線路受內(nèi)在、外在干擾。三、項(xiàng)目實(shí)訓(xùn)總結(jié)〔項(xiàng)目成果分析、收獲及存在的不足六個(gè)星期的實(shí)訓(xùn)項(xiàng)目設(shè)計(jì)一眨眼就過去了。在這六個(gè)星期里,我們完成了從電路的單元構(gòu)思到整體設(shè)計(jì)到后來的設(shè)計(jì)完成、軟件仿真再到最后的安裝調(diào)試的成功。雖然這期間我們經(jīng)歷了許多挫折和失敗的探索,付出了很多時(shí)間和汗水,但最后我們成功了。心中的喜悅與成就感是不言而喻的。自己選實(shí)訓(xùn)項(xiàng)目課題的時(shí)候,一頭霧水。因?yàn)橛幸欢螘r(shí)間沒接觸數(shù)電了所以對所學(xué)的東西開始感到陌生,更何況本次課程設(shè)計(jì)綜合性很強(qiáng),要求我們發(fā)散思維設(shè)計(jì)電路。雖然老師講解指導(dǎo)之后有點(diǎn)頭緒了,但一開始我還是不知從何下手。從圖書館借閱資料后,我參考老師給我們的總體框圖,先確定每個(gè)模塊可能要用到哪些芯片然后熟悉它們的引腳和功能表。我漸漸地有了頭緒:原來用我們學(xué)過的知識就都可以設(shè)計(jì)出各個(gè)模塊,只要把每個(gè)模塊設(shè)計(jì)好了然后連起來就基本完成了。在通用倒計(jì)時(shí)課題的設(shè)計(jì)中,我用兩片74192十進(jìn)制芯片完成了倒計(jì)時(shí)的循環(huán)計(jì)數(shù),這部分并不難,只需注意進(jìn)位的連接就可以了,這在數(shù)電實(shí)驗(yàn)中已經(jīng)掌握了。而在延時(shí)電路這模塊中我遇到許多困難,起初是毫無頭緒,后來通過老師的指導(dǎo)知道,這模塊雖然費(fèi)時(shí)比較長,不過設(shè)計(jì)成功時(shí)是非常開心的,因?yàn)槲矣X得這模塊是本課題一大難點(diǎn)。仿真成功后,在實(shí)際接線測試的過程中我們遇到了更多問題。故障產(chǎn)生的原因很多,情況也很復(fù)雜,有的是一種原因引起的簡單故障,有的是多種原因相互作用引起的故障。比如說接線板部分區(qū)域損壞或接觸不好、芯片損壞、導(dǎo)線老化、線路干擾、外界干擾而造成的顯示數(shù)據(jù)紊亂不穩(wěn)定,還有就是芯片管腳懸空并不一定是高電平等實(shí)際問

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論