第四章FPGA組件設(shè)計(jì)(ISE開(kāi)發(fā)進(jìn)階)_第1頁(yè)
第四章FPGA組件設(shè)計(jì)(ISE開(kāi)發(fā)進(jìn)階)_第2頁(yè)
第四章FPGA組件設(shè)計(jì)(ISE開(kāi)發(fā)進(jìn)階)_第3頁(yè)
第四章FPGA組件設(shè)計(jì)(ISE開(kāi)發(fā)進(jìn)階)_第4頁(yè)
第四章FPGA組件設(shè)計(jì)(ISE開(kāi)發(fā)進(jìn)階)_第5頁(yè)
已閱讀5頁(yè),還剩83頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第四章FPGA組件設(shè)計(jì)掌握IP核的生成和使用方法。會(huì)用戶約束文件UCF設(shè)計(jì)。了解ISE實(shí)現(xiàn)選項(xiàng)配置和實(shí)現(xiàn)報(bào)告。了解靜態(tài)時(shí)序分析方法與布局布線后仿真。掌握FPGA的配置。掌握原語(yǔ)的使用方法。了解ChipScope的使用。IPCore的使用系統(tǒng)IPCore的生成面向復(fù)雜設(shè)計(jì)的軟核ISE版本的適用性XilinxFPGA芯片的適用性ISE提供的IPCore(IP核)在ISE的Project中直接生成ISE->Accessories->CoreGeneratorISE->Accessories->ArchitectureWizardIP參數(shù)化設(shè)計(jì)小寫(xiě)IPCore的使用方法1:定制ISE中一個(gè)已有的IPCoreIPCore的使用選擇IPCore使用說(shuō)明IPCore的使用定制同步FIFOCore為例IPCore的使用生成點(diǎn)擊GenerateISE的ModuleView窗口中出現(xiàn)所生成的IPCoreIPCore的使用例化生成IPCore后,工程所在文件夾下產(chǎn)生下列文件.xco是IPCore配置文件例化時(shí)識(shí)別.xco.edn是網(wǎng)表文件.v和.vhd是模塊的封裝源代碼IPCore的使用方法2:CoreGeneratorIPCore的使用配置芯片配置IPCore的使用配置生成配置IPCore的使用配置高級(jí)配置IPCore的使用IPCore選擇IPCore的使用方法3:ArchitectureWizardIP復(fù)雜的IPCore生成,如DCMIPCore的使用配置IPCore的使用例化產(chǎn)生的關(guān)鍵文件.ucf是約束文件.xaw是二進(jìn)制的Core文件 (例化時(shí)和.xco的使用類(lèi)似).v是模塊的封裝源代碼.ngc二進(jìn)制網(wǎng)表文件(根據(jù)綜合選項(xiàng)不同而生成)IPCore的使用IPCore端口聲明ViewHDLFunctionalModelIPCore的使用IPCore的說(shuō)明IPCore生成后,添加到工程中被認(rèn)為是黑盒子,不會(huì)被重新綜合;IPCore的可移植性與ISE的版本和芯片類(lèi)型有關(guān);部分IPCore需付費(fèi)使用:仿真IP:可以仿真,不能綜合綜合IP:可以綜合,不能在芯片上驗(yàn)證IPCore的使用如何將自己的代碼封裝成一個(gè)IPCore?可以提供給他人使用,但不想被篡改。ISE方法:提供verilogwrapper文件(.v文件),只提供端口描述和參數(shù);提供.edn文件或者.ngc文件等同名的網(wǎng)表文件。綜合選項(xiàng)中去除IOBUF生成選項(xiàng)。Vivado方法:Tools->CreateandPackageIP模塊關(guān)聯(lián)非常重要用戶約束文件(UCF)UCF是項(xiàng)目實(shí)現(xiàn)不可缺少的部分UCF生成方法1:NewSouce->ImplementationConstraintsFile用戶約束文件(UCF)UCF生成方法2UserConstraints(用戶約束)用戶約束文件(UCF)UCF主要三個(gè)組成部分引腳約束:引腳位置和類(lèi)型配置;面積約束:模塊的布局布線區(qū)域配置;時(shí)序約束:時(shí)鐘約束、關(guān)鍵路徑約束等。UCF語(yǔ)法:類(lèi)似于一種腳本語(yǔ)言{NET|INST|PIN}“Signal_name”Attribute圖形工具PACE編輯器,約束編輯器用戶約束文件(UCF)引腳約束用戶約束文件(UCF)引腳約束引腳的參數(shù)可以進(jìn)一步配置用戶約束文件(UCF)引腳約束配置成功后打開(kāi).ucf文件用戶約束文件(UCF)面積約束將Logic的模塊指定到芯片的某個(gè)區(qū)域編輯一般情況下,不需要添加用戶約束文件(UCF)時(shí)鐘約束全局約束 必選,主要針對(duì)全局時(shí)鐘引腳時(shí)序約束 padtopad,clocktopad高級(jí)約束 分組約束,高級(jí)時(shí)序約束特定約束 特定約束(較少使用)語(yǔ)法規(guī)則較為復(fù)雜用戶約束文件(UCF)時(shí)鐘約束編輯器用戶約束文件(UCF)重新查看.ucf文件用戶約束文件(UCF)關(guān)于UCF文件的幾點(diǎn)說(shuō)明UCF語(yǔ)法較為復(fù)雜,需要確切知道含義;圖形界面的選項(xiàng)可以自動(dòng)轉(zhuǎn)換為UCF語(yǔ)句;如果要在FPGA上實(shí)現(xiàn),時(shí)鐘約束、引腳約束必填;UCF通常關(guān)聯(lián)頂層模塊,綜合選項(xiàng)中默認(rèn)包含;UCF引腳配置要非常小心,嚴(yán)格遵照PCB圖和芯片手冊(cè)的要求,否則可能燒毀昂貴的FPGA芯片;某些特殊引腳約束或者復(fù)雜約束,只能通過(guò)UCF語(yǔ)句來(lái)生成;UCF編寫(xiě)不正確,無(wú)法進(jìn)行綜合以后的后續(xù)步驟。Vivado使用XDC(XilinxDesignConstraints)ISEimplementdesign配置Implement在綜合之后執(zhí)行,需要有.ucf文件包括Translate、Map、Place&Route屬性卡可以集中修改,也可以分項(xiàng)修改每個(gè)步驟都會(huì)生成分析報(bào)告ISEimplementdesign配置Translate屬性通常使用默認(rèn)屬性生成.ngd文件ISEimplementdesign配置Translate報(bào)告ISEimplementdesign配置Map屬性通常使用默認(rèn)屬性需要調(diào)整的屬性LUT輸入面積與速度IOBISEimplementdesign配置Map報(bào)告(很長(zhǎng),關(guān)注以下幾個(gè)部分)ISEimplementdesign配置Place&Route屬性主要需要調(diào)整的屬性:布線努力程度運(yùn)行開(kāi)銷(xiāo)表部分工具比較重要ISEimplementdesign配置Place&Route報(bào)告(也很長(zhǎng))靜態(tài)時(shí)序分析與布局布線后仿真靜態(tài)時(shí)序分析當(dāng)布局布線效果不理想時(shí)使用產(chǎn)生布局布線靜態(tài)時(shí)序部分工具比較重要錯(cuò)誤時(shí)序會(huì)被標(biāo)紅靜態(tài)時(shí)序分析與布局布線后仿真靜態(tài)時(shí)序分析靜態(tài)時(shí)序分析與布局布線后仿真三種后仿真后仿真模型生成文件GeneratePost-TranslateSimulationxxx_translate.vGeneratePost-MapSimulationxxx_map.v,xxx_map.sdfGeneratePost-Place&RouteSimulationxxx_timsim.v,xxx_timsim.sdf主要使用布局布線后仿真靜態(tài)時(shí)序分析與布局布線后仿真布局布線后仿真方法生成仿真模型新建一個(gè)目錄,復(fù)制仿真測(cè)試文件、xxx_timsim.v、xxx_timsim.sdf、glbl.v到此目錄下;(注意:一定不要編寫(xiě)的源代碼,glbl.v在ISE根目錄/verilog/src下)如果使用了宏定義文件(.v)、其它仿真模型文件(.v)也添加進(jìn)來(lái);打開(kāi)ModelSim,新建一個(gè)Project,添加上述文件;編譯所有文件;vsim-LXilinxCoreLib_ver-Lunisims_ver-Lsimprims_ver-t1ps+maxdelayssimulate_moduleglbl靜態(tài)時(shí)序分析與布局布線后仿真將uut(例化的源代碼頂層)添加到波形中布局布線后仿真方法靜態(tài)時(shí)序分析與布局布線后仿真后仿真也可以采用非命令行方法,請(qǐng)自行查閱相關(guān)資料后仿真時(shí)間較長(zhǎng),與功能仿真可能相差幾個(gè)數(shù)量級(jí)如果源代碼較為龐大,生成后仿真模型的過(guò)程也會(huì)比較耗時(shí)功耗分析XPower功耗分析在仿真文件中某個(gè)initial語(yǔ)句中需要增加

$dumpfile("design.VCD"); $dumpvars(1,test_v.uut);運(yùn)行GeneratePowerData運(yùn)行AnalyzePower功耗分析分析報(bào)告功耗分析分析報(bào)告FPGA的配置FPGA配置模式主模式 PROM配置(最終產(chǎn)品)從模式JTAG模式

主機(jī)配置(調(diào)試)JTAGJointTestActionGroup一種國(guó)際標(biāo)準(zhǔn)測(cè)試協(xié)議JTAG邊界掃描TDI、TDO、TMS、TCKVCC、GNDFPGA的配置JTAG下載電纜并口電纜ParallelIII 電路公開(kāi),可自行制作ParallelIVUSB電纜價(jià)格較為昂貴,速度和穩(wěn)定性遠(yuǎn)優(yōu)于并口電纜JTAG配置電路菊花鏈FPGA的配置配置文件的生成調(diào)試配置選項(xiàng)CCLK用于主模式PROM配置,內(nèi)部產(chǎn)生JTAGCLOCK用于JTAG調(diào)試

FPGA的配置配置文件的生成執(zhí)行生成.bit文件

FPGA的配置啟動(dòng)iMPACT選擇邊界掃描也可以取消然后點(diǎn)擊進(jìn)行自動(dòng)檢測(cè)

FPGA的配置下載配置右鍵Program,選中生成的.bit文件下載成功后,提示“Success”

FPGA的配置采用PROM配置FPGA生成的.bit文件需要轉(zhuǎn)換成.mcs文件下載到PROM中,PROM自動(dòng)配置FPGA FPGA的配置選擇所使用PROM芯片F(xiàn)PGA的配置選擇.bit文件轉(zhuǎn)換注意:此時(shí)要用CCLK時(shí)鐘生成.bit FPGA的配置JTAG菊花鏈FPGA的配置多片PROM配置FPGA的配置SystemACE配置可參考PROM配置

FPGA的配置FPGA配置失敗的常見(jiàn)問(wèn)題JTAG鏈掃描失敗:檢查JTAG電路接口連接情況;無(wú)法通過(guò)并口設(shè)置:并口電纜、并口模式;無(wú)法正常配置:信號(hào)干擾,增加濾波電路,阻抗匹配;DONE引腳不變高:合適的上拉電阻;DONE引腳變高后無(wú)法正常工作:?jiǎn)?dòng)順序;模式錯(cuò)誤:引腳M0、M1、M2的配置模式;隨機(jī)性失?。浩骷](méi)有復(fù)位。ChipScope的使用ChipScope在線軟件邏輯分析儀CoreGeneratorCoreInsert(可替代CoreGenerator)Analyzer觸發(fā)、捕獲、分析ChipScope的使用.edn文件選擇、芯片類(lèi)型選擇CoreInsertChipScope的使用綜合網(wǎng)表發(fā)生改變CoreInsertChipScope的使用設(shè)置TriggerWidth、MatchUnit、CounterWidth設(shè)置MatchTypeCoreInsertChipScope的使用CoreInsert數(shù)據(jù)深度(采樣Sample個(gè)數(shù))采樣時(shí)鐘沿方式ChipScope的使用修改采樣信號(hào):數(shù)量由之前的TriggerWidth決定CoreInsertChipScope的使用ChipScope的使用CoreInsert選擇采樣時(shí)鐘盡可能用主時(shí)鐘或DCM輸出的2X時(shí)鐘選擇采樣信號(hào)可成組添加盡量添加寄存器輸出不能添加PAD外側(cè)信號(hào)信號(hào)名稱可能發(fā)生改變ChipScope的使用CoreInsert核的保存滿足寬度要求,不可有空;保存為.cdc文件,以供下次調(diào)試使用。核的使用插入核后需要RerunTranslate。(注意:只能Translate這一步,不能RerunALL,否則將會(huì)重新綜合,導(dǎo)致核無(wú)法添加成功)繼續(xù)執(zhí)行后續(xù)的Implementation操作并進(jìn)行FPGA的配置。ChipScope的使用Analyzer可以替代iMPACT的芯片配置功能;通過(guò)下載電纜的檢查,掃描JTAG鏈,找到板上所有的芯片;配置下載.bit文件(穩(wěn)定性好于iMPACT,速度稍慢);當(dāng)使用CoreInsert時(shí),下載成功后顯示分析界面;否則只顯示現(xiàn)在成功信息。ChipScope的使用Analyzer的使用設(shè)置觸發(fā)條件單個(gè)信號(hào)(跳變、計(jì)數(shù)等)多個(gè)新號(hào)(組合)信號(hào)捕獲對(duì)于第一次出現(xiàn)的觸發(fā)條件,需要復(fù)位狀態(tài)下啟動(dòng)捕獲。信號(hào)編輯默認(rèn)情況下只有信號(hào)編號(hào),需要核對(duì)CoreInsert進(jìn)行信號(hào)的編輯,向量信號(hào)可以直接分組編輯。信號(hào)觀測(cè)只顯示所設(shè)定的捕獲寬度。ChipScope的使用Analyzer的使用信號(hào)保存Project保存,可以保存所有編輯過(guò)的信號(hào)和觸發(fā)條件。CoreInsert信號(hào)如果重新編輯過(guò),信號(hào)保存無(wú)效。信號(hào)分析類(lèi)似于ModelSim的信號(hào)分析,實(shí)時(shí)記錄片內(nèi)信號(hào)的波形。原語(yǔ)的使用原語(yǔ)(Primitive)針對(duì)器件特征開(kāi)發(fā)的常用模塊名稱;可靠做Xilinx為用戶提供的“庫(kù)函數(shù)”;可以在代碼中直接例化使用。注意:(1)原語(yǔ)的使用要注意具體芯片; (2)通常采用參數(shù)化設(shè)計(jì);

(3)語(yǔ)言模板(LanguageTemplates)中提供使用說(shuō)明。原語(yǔ)的使用原語(yǔ)(Primitive)ISE菜單Edit->LanguageTemplates原語(yǔ)的

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論