第一章集成電路設計概述_第1頁
第一章集成電路設計概述_第2頁
第一章集成電路設計概述_第3頁
第一章集成電路設計概述_第4頁
第一章集成電路設計概述_第5頁
已閱讀5頁,還剩130頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

集成電路設計電氣工程學院李曉娟1教師信息E-mail:lixiaojuan4379@163.comTELffice:電氣樓2042概述

一、“集成電路設計”課在本專業(yè)所占的地位

“集成電路設計”是為高等學校電子科學和通信與信息等學科高年級本科生和碩士生開設的一門課程,是培養(yǎng)我國急需的集成電路設計人才的入門課程,也是射頻集成電路設計、專用集成電路設計等課程的基礎課程。

本課程的任務是:在鞏固電子類專業(yè)基礎課(電路分析、數(shù)電、模電)及相關專業(yè)課程(半導體物理、微電子器件)的前提下,學習并掌握IC的基本單元結(jié)構(gòu)、工作原理及其電學特性;集成電路工藝及其進展,能進行簡單集成電路的拓撲及版圖的分析與設計。3

集成電路設計課程以集成電路設計工藝和制造的全過程、集成電路設計工具以及集成電路的基本單元設計為主要教學內(nèi)容,一方面覆蓋集成電路設計的基礎知識,另一方面涉及眾多技術(shù)領域,需要設計者具備系統(tǒng)知識、電路知識.

通過本課程的學習,要求學生熟悉集成電路的材料及制作工藝,基本掌握雙極IC、數(shù)字CMOS集成電路中的倒相器的電路特性和版圖,掌握邏輯門、傳輸門和觸發(fā)器電路,了解動態(tài)電路;掌握雙極模擬電路的基本子電路(如電流源,基準源等)的工作原理和特性,掌握雙極基本運算放大器的性能分析和設計方法。4教材與考核方式推薦教材

《集成電路設計》王志功主編,電子工業(yè)出版社。考核與計分方式

課時安排(60)=理論(40)+實驗(20)計分方式:平時成績占20%,期末考試占80%。5問題1、什么是集成電路?2、這門課學什么?3、學完這門課能干什么?4、如何學習這門課?6什么是集成電路?IC–IntegratedCircuit7能不能把這些半導體器件組成的電路做在一起?

--集成電路IC8芯片,現(xiàn)代社會的基石PDA:掌上電腦內(nèi)存條手機計算機主板數(shù)碼相機9集成電路就是指采用半導體工藝,將一個電路中所需要的晶體管、二極管、電阻、電容等元器件連同它們之間的電氣連線在一塊半導體晶片上制作出來完成特定的功能。10幾個概念微電子學:Microelectronics一門學科,一門研究集成電路設計、制造、測試、封裝等全過程的學科

集成電路IC(IntegrateCircuit):一類元器件的統(tǒng)稱,該類器件廣泛應用于電子信息產(chǎn)業(yè),幾乎所有的電子產(chǎn)品均由集成電路裝配而成

芯片:chip/die沒有封裝的集成電路,但通常也與集成電路混用,作為集成電路的又一個名稱

集成系統(tǒng)芯片SoC(SystemonaChip):微電子學和集成電路技術(shù)發(fā)展的產(chǎn)物,指在單芯片上實現(xiàn)系統(tǒng)級的功能11集成電路集成電路的內(nèi)部電路VDDABOut二輸入CMOS或非門12集成電路是如何做出來的?如果要造一個芯片,需要經(jīng)歷哪些過程?13集成電路產(chǎn)業(yè)結(jié)構(gòu)設計制造封裝測試需求應用設計業(yè)是IC與整機的結(jié)合部14集成電路涉及的產(chǎn)業(yè)鏈IC設計IC制造IC測試IC封裝半導體器件半導體材料15集成電路行業(yè)IC設計IC制造(DesignHouse)(Foundry)電路設計-版圖版圖-芯片集成電路行業(yè)的分工16IC設計所涉及的內(nèi)容1、電路功能的描述與實現(xiàn)

數(shù)字集成電路模擬集成電路2、電路功能級到版圖級的轉(zhuǎn)化在DesignHouse中借助EDA工具完成17

集成電路的設計過程:

設計創(chuàng)意+仿真驗證集成電路芯片設計過程框架From吉利久教授是功能要求行為設計(VHDL)行為仿真綜合、優(yōu)化——網(wǎng)表時序仿真布局布線——版圖后仿真否是否否是Singoff—設計業(yè)—18集成電路設計業(yè)的形成和發(fā)展歷程40年經(jīng)歷了“電路集成到系統(tǒng)集成”的過程ULSI(甚大規(guī)模集成電路)SSI(小規(guī)模集成電路)SOB(板上系統(tǒng))SOC(片上系統(tǒng))19IC制造所涉及的內(nèi)容1、半導體材料

由沙子—高純度硅—硅錠—硅片(晶圓)2、半導體工藝

光刻、腐蝕、檢測、切片、氧化、摻雜等3、芯片封裝

芯片引腳、密封4、芯片測試

成品與預期參數(shù)指標對比20ProductionProcessFlow晶圓片多探針測試,壞的芯片打標記IC制造有以下5個過程硅晶圓片晶圓處理制程打字、最后測試封裝布滿芯片的硅晶圓片

21這門課學什么?集成電路設計(第七、九、十、十一章)(重點)集成電路設計流程,設計方法,基本集成電路結(jié)構(gòu)及原理集成電路的制造工藝(第三、四章)集成電路的器件(第二、五章)集成電路的版圖(第八章)集成電路器件及SPICE模型(第六章)集成電路的測試和封裝(第十二章)22集成電路行業(yè)的就業(yè)狀況IC制造行業(yè)Foundry長三角、珠三角、臺灣地區(qū)臺積電、臺聯(lián)電、特許半導體中芯國際、華虹NEC集成電路制造工藝集成電路器件原理半導體器件知識、半導體工藝知識、芯片封裝,測試技術(shù)IC設計行業(yè)DesignHouse長三角、珠三角、京津地區(qū)Intel、意法半導體、德州儀器(TI)龍芯、華大、海思、中興數(shù)字集成電路設計模擬集成電路設計電路知識、EDA知識、半導體器件知識、相關應用知識DesignHouse與Foundry間溝通的人才,既懂電路,又234、學習方法1、課堂學習(模電、數(shù)電基礎)2、自我拓展(集成電路最新發(fā)展)3、課題小組(每組一個小課題做報告)參考書目:朱正涌《半導體集成電路》清華大學出版社

施敏《半導體器件物理與工藝》

畢查德.拉扎維《模擬CMOS集成電路設計》

24微電子技術(shù)回顧

目前最基本的電子器件主要有三大類:

電子管也稱為真空管(Vacuumtube),它是在一個抽成真空的玻璃泡中封有一些電極而制成的。圖1是各種真空管的照片,真空管是第一代電子器件。圖125

半導體器件是二十世紀五十年代發(fā)展起來的,特別是1948年晶體管(“Transistor”isshortfor“TransferResistor”)的發(fā)明,對電子技術(shù)的發(fā)展起到了決定性的作用。圖2和圖3是各種半導體二極管和三極管的照片。圖2各種半導體二極管的照片圖3各種半導體三極管的照片26

隨后在半導體器件的基礎上發(fā)展起來的集成電路,使電子技術(shù)進入了一個新的里程碑。集成電路的不斷發(fā)展,從小規(guī)模集成電路到中規(guī)模、大規(guī)模集成電路,以及發(fā)展到超大規(guī)模集成電路??梢园堰^去一臺儀器所包括的電子電路集成到一塊芯片之中。圖4是各種集成電路的照片。圖4各種集成電路的照片27電子管的發(fā)明

1883年,美國發(fā)明家愛迪生(T·A·Edison,1847—1931)發(fā)現(xiàn)了熱的燈絲發(fā)射電荷的現(xiàn)象,并被稱之為“愛迪生效應”。1897年,英國物理學家湯姆遜(J·J·Thomson1856~1940)解釋了這種現(xiàn)象,并把帶電的粒子稱為“電子”。1904英國倫敦大學電工學教授弗萊明(S·J·A·Fleming1849~1945)研制出檢測電波用的第一只真空二極管,從而宣告人類第一個電子二極管的誕生。281906年,美國發(fā)明家德福雷斯特(L·Do·Forest)在弗萊明二極管的基礎上制造出三極管;在陽極A和陰極K的中間加上柵狀的電極G,如果改變柵極電壓,就可以使陽極電流發(fā)生相應的變化,實現(xiàn)了用較小的控制電壓獲得很大的輸出電流或電壓,具有放大信號的作用.電子管的缺點:耗電,預熱時間長。29

1946年1月,Bell實驗室正式成立半導體研究小組,

W.Schokley肖克萊,J.Bardeen巴丁、W.H.Brattain布拉頓。Bardeen提出了表面態(tài)理論,Schokley給出了實現(xiàn)放大器的基本設想,Brattain設計了實驗。1947年12月23日,第一次觀測到了具有放大作用的晶體管晶體管的發(fā)明30世界上第一個Ge點接觸型PNP晶體管蒸金箔塑料楔金屬基極鍺發(fā)射極集電極0.005cm的間距31

1956年諾貝爾物理學獎授予美國加利福尼亞州景山(MountainView)貝克曼儀器公司半導體實驗室的肖克萊(WilliamShockley,1910—1989)、美國伊利諾斯州烏爾班那伊利諾斯大學的巴?。↗ohnBardeen,1908—1991)和美國紐約州繆勒海爾(MurrayHill)貝爾電話實驗室的布拉頓(WalterBrattain,1902—1987),以表彰他們在1947年12月23日發(fā)明第一個對半導體的研究和NPN點接觸式Ge晶體管效應的發(fā)現(xiàn)。32集成電路的發(fā)明

1952年5月,英國科學家G.W.A.Dummer達默第一次提出了集成電路的設想。1958年以德克薩斯儀器公司的科學家基爾比(ClairKilby)為首的研究小組研制出了世界上第一塊集成電路,并于1959年公布了該結(jié)果33青年基爾比第一塊集成電路集成電路草圖1958年9月12日,TI公司的JackS.Kilby在德州儀器半導體實驗室展示了一個構(gòu)造較為簡單的設備。第一次將所有有源和無源元器件都集合到只有一個曲別針大?。ú蛔?/2英寸見方)的半導體材料上。這塊集成電路共集成了十二個元件(兩個晶體管、兩個電容和八個電阻)。Kilby本人也因此與赫伯特·克勒默和俄羅斯的澤羅斯·阿爾費羅夫一起榮獲2000年度諾貝爾物理學獎。Ge襯底上的混合集成電路,美國專利號3138743341958年第一塊集成電路:TI公司的Kilby,12個器件,Ge晶片獲得2000年Nobel物理獎35“有極少數(shù)人憑借他們的智慧和專業(yè)領域的成就改變了這個世界,杰克·基爾比就是其中之一?!?/p>

——德州儀器公司

36

1959年美國仙童/飛兆公司(Fairchilds

)的R.Noicy諾依斯開發(fā)出用于IC的Si平面工藝技術(shù),從而推動了IC制造業(yè)的大發(fā)展。1959年仙童公司制造的IC年輕時代的諾伊斯37第一代:電子管計算機(1946~1955)第二代:晶體管計算機

(1955~1964)第三代:集成電路計算機(1964~1970)第四代:VLSI計算機(1971年--現(xiàn)在)第五代:智能化計算機38電子管時代晶體管時代第一臺晶體管計算機集成電路時代39第五代:智能化計算機主攻目標——讓計算機具有人的聽、說、讀、寫和思維推理能力神經(jīng)網(wǎng)絡計算機用數(shù)據(jù)單元模擬人腦的神經(jīng)元,并利用神經(jīng)元結(jié)點的分布式存儲和相互關聯(lián)來模擬人腦活動生物計算機

使用蛋白分子為主要原料制成的生物芯片構(gòu)成,存儲能力巨大,而且以波的形式來傳播信息40第一章集成電路設計概述1、

集成電路發(fā)展簡史及規(guī)律2、描述集成電路工藝技術(shù)水平的五個技術(shù)指標

及發(fā)展趨勢3、IC的分類4、集成電路設計流程及設計環(huán)境5、集成電路制造途徑6、集成電路設計的知識范圍7、半導體IC技術(shù)發(fā)展趨勢8、我國微電子發(fā)展概況411、

集成電路發(fā)展簡史及規(guī)律1950年代——晶體管技術(shù)不斷發(fā)展1960年代——集成電路產(chǎn)業(yè)快速發(fā)展1970年代——行業(yè)競爭加劇1980年代——自動化1990年代——21世紀421950年代——晶體管技術(shù)不斷發(fā)展1952年,第一個單晶Ge晶體管。1954年,第一個單晶硅晶體管,德州儀器公司,GordonTeal。1957年,加利福尼亞州的仙童半導體公司(FairChildSemiconductor)制造出第一個商用平面晶體管。——平面技術(shù)。1958年,德州儀器(TI)公司,制造出第一個集成電路(IC)器件,半導體產(chǎn)業(yè)向前邁進了重要的一步。431960年代——集成電路產(chǎn)業(yè)快速發(fā)展1、在技術(shù)上,新材料和工藝技術(shù)不斷出現(xiàn),集成電路工藝快速進步。1963年,CMOS晶體管發(fā)明,San和Wanlass。1966年,多晶硅柵技術(shù)出現(xiàn)。1968年,離子注入技術(shù)被應用于半導體器件制造中。442、半導體制造商激增。1961年,Signetics公司。1968年,RobertNoyce、GordonMoor、AndrewGrove成立了Intel公司。1969年,JerrySanders和其他FairChildSemiconductor科學家成立了AMD(AdvancedMicrDevices)公司。3、半導體產(chǎn)業(yè)出現(xiàn)分工。

出現(xiàn)了專門從事供應的行業(yè),這些行業(yè)提供半導體產(chǎn)業(yè)必需的化學材料和設備。1960年代——集成電路產(chǎn)業(yè)快速發(fā)展451970年代——行業(yè)競爭加劇

隨著集成電路的發(fā)展,電路的集成度逐漸提高。1、工藝技術(shù)發(fā)展1971年,Intel采用nMOS技術(shù)制成了世界上第一個微處理器Intel4004。在20世紀的整個70年代和80年代初,nMOS技術(shù)成為集成電路的主流技術(shù)。1979年,在多晶硅柵技術(shù)的基礎上,開發(fā)出了硅化物柵技術(shù),降低了柵極電阻。462、70年代生產(chǎn)設備實現(xiàn)了半自動操作3、出現(xiàn)了標準化組織1970年,SEMI(SemiconductorEquipmentandMeterialsInternational)國際半導體設備及材料協(xié)會成立。1977年,SIA(SemiconductorIndustryAssociation)半導體協(xié)會成立。4、建廠費用激增1970年代——行業(yè)競爭加劇471980年代——自動化1、工藝技術(shù)進步,低功耗的CMOS技術(shù)成為主流。1980年,出現(xiàn)了帶側(cè)墻的漏端輕摻雜結(jié)構(gòu)(LDD)。1983年,出現(xiàn)了氮化SiO2柵介質(zhì)材料,改善器件的可靠性。1985年,出現(xiàn)了雙摻雜多晶硅柵的CMOS結(jié)構(gòu)。80年代后期,IBM發(fā)展了CMP(化學機械拋光)工藝。1987年,IBM研制成功0.1μmMOSFET,標志著當代超深亞微米MOS技術(shù)基本成熟。同年,Intel在386CPU中引入1.2μmCMOS技術(shù)——至此CMOS技術(shù)占據(jù)了集成電路中的統(tǒng)治地位。482、生產(chǎn)設備自動化

包括全部的重要硅片加工步驟,大幅度減少工藝中的操作者,這使得硅片制造廠的啟動成本快速增加,到80年代后期,上漲到接近10億美元。1980年代——自動化491990年代——1、芯片的最小特征尺寸(CriticalDesign,CD)進一步縮小到1μm以下,進入ULSI時代。2、金屬化與多層互連技術(shù)的發(fā)展,使得芯片的集成度、速度進一步提高,同時降低了功耗,減少工藝步驟。3、集成電路設計全部采用計算機CAD。5021世紀

集成電路復雜度不斷增加,系統(tǒng)芯片或稱芯片系統(tǒng)SoC(System-on-Chip)成為開發(fā)目標、納米器件與電路等領域的研究已展開。英特爾曾于2003年11月底展示了首個能工作的65納米制程的硅片,Intel2004年8月宣布,他們已經(jīng)采用65納米,生產(chǎn)出了70Mbit的SRAM。并計劃于2005年正式進入商業(yè)化生產(chǎn)階段。使用65納米制程生產(chǎn)的芯片中門電路的數(shù)目是90納米制程的1/3。SRAM(靜態(tài)存儲器)將用于高速的存儲設備,處理器中非常重要的緩存就是采用SRAM。51第一代微處理器--intel4004主頻<1MHz52第二代微處理器--Intel8080主頻:2MHz53第三代微處理器--Intel8086主頻5MHz54第四代微處理器--Intel80386主頻16-33MHz55第五代微處理器--IntelPentium系列主頻3GHz以上56新一代微處理器–Intel酷睿系列雙核多核單核主頻3GHz57微電子發(fā)展的規(guī)律集成電路工業(yè)發(fā)展的一個重要規(guī)律即所謂

摩爾定律。Intel

公司的創(chuàng)始人之一戈登·摩爾先生在

1965

4月19日發(fā)表于《電子學雜志》上的文章中提出,集成電路的能力將每年翻一番。1975

年,他對此提法做了修正,稱集成電路的能力將每兩年翻一番。摩爾定律現(xiàn)在的表達:在價格不變的情況下,集成電路芯片上的晶體管數(shù)量每

18

個月翻一番,即每

3

年乘以

4。線條寬度每

6

年下降一半。58集成電路技術(shù)是近50年來發(fā)展最快的技術(shù)表1微電子技術(shù)的進步按此比率下降,小汽車價格不到1美分FromS.M.SZE592.描述集成電路工藝技術(shù)水平的五個技術(shù)指標及發(fā)展趨勢1.集成度(IntegrationLevel)是以一個IC芯片所包含的元件(晶體管或門/數(shù))來衡量,(包括有源和無源元件)。隨著集成度的提高,使IC及使用IC的電子設備的功能增強、速度和可靠性提高、功耗降低、體積和重量減小、產(chǎn)品成本下降,從而提高了性能/價格比,不斷擴大其應用領域,因此集成度是IC技術(shù)進步的標志。為了提高集成度采取了增大芯片面積、縮小器件特征尺寸、改進電路及結(jié)構(gòu)設計等措施。為節(jié)省芯片面積普遍采用了多層布線結(jié)構(gòu),現(xiàn)已達到7層布線。從電子系統(tǒng)的角度來看,集成度的提高使IC進入系統(tǒng)集成或片上系統(tǒng)(SoC)的時代。602.特征尺寸(FeatureSize)/(CriticalDimension)

特征尺寸定義為器件中最小線條寬度(對MOS器件而言,通常指器件柵電極所決定的溝道幾何長度),也可定義為最小線條寬度與線條間距之和的一半。減小特征尺寸是提高集成度、改進器件性能的關鍵。特征尺寸的減小主要取決于光刻技術(shù)的改進。集成電路的特征尺寸向深亞微米發(fā)展,目前的規(guī)模化生產(chǎn)是0.18μm、0.15μm、0.13μm、90nm工藝,Intel目前將大部分芯片生產(chǎn)制成轉(zhuǎn)換到65nm

。下圖自左到方給出的是寬度從4μm~70nm按比例畫出的線條。由此,我們對特征尺寸的按比例縮小有一個直觀的印象。特征尺寸從4μm~70nm的成比例減少的線條613.晶片直徑(WaferDiameter)為了提高集成度,可適當增大芯片面積。然而,芯片面積的增大導致每個圓片內(nèi)包含的芯片數(shù)減少,從而使生產(chǎn)效率降低,成本高。采用更大直徑的晶片可解決這一問題。晶圓的尺寸增加,當前的主流晶圓的尺寸為8英寸,正在向12英寸晶圓邁進。下圖自左到右給出的是從2英寸~12英寸按比例畫出的圓。由此,我們對晶圓尺寸的增加有一個直觀的印象。尺寸從2吋~12吋成比例增加的晶圓62通過下圖以人的臉面相對照,我們可以對一個12英寸晶圓的大小建立一個直觀的印象。

一個12英寸晶圓與人臉大小的對比634.芯片面積(ChipArea)隨著集成度的提高,每芯片所包含的晶體管數(shù)不斷增多,平均芯片面積也隨之增大。芯片面積的增大也帶來一系列新的問題。如大芯片封裝技術(shù)、成品率以及由于每個大圓片所含芯片數(shù)減少而引起的生產(chǎn)效率降低等。但后一問題可通過增大晶片直徑來解決。5.封裝(Package)IC的封裝最初采用插孔封裝THP(through-holepackage)形式。為適應電子設備高密度組裝的要求,表面安裝封裝(SMP)技術(shù)迅速發(fā)展起來。在電子設備中使用SMP的優(yōu)點是能節(jié)省空間、改進性能和降低成本,因SMP不僅體積小而且可安裝在印制電路板的兩面,使電路板的費用降低60%,并使性能得到改進。64集成電路技術(shù)發(fā)展趨勢1)特征尺寸:微米亞微米深亞微米,目前的主流工藝是0.35、0.25和0.18m,0.15和0.13m已開始走向規(guī)?;a(chǎn);2)電路規(guī)模:SSISOC;3)晶圓的尺寸增加,當前的主流晶圓的尺寸為8英寸,正在向12英寸晶圓邁進;4)集成電路的規(guī)模不斷提高,最先進的CPU(P-IV)已超過4000萬晶體管,DRAM已達Gb規(guī)模;655)集成電路的速度不斷提高,人們已經(jīng)用0.13m

CMOS工藝做出了主時鐘達2GHz的CPU;>10Gbit/s的高速電路和>6GHz的射頻電路;6)集成電路復雜度不斷增加,系統(tǒng)芯片或稱芯片系統(tǒng)SoC(System-on-Chip)成為開發(fā)目標;7)設計能力落后于工藝制造能力;8)電路設計、工藝制造、封裝的分立運行為發(fā)展無生產(chǎn)線(Fabless)和無芯片(Chipless)集成電路設計提供了條件,為微電子領域發(fā)展知識經(jīng)濟提供了條件.集成電路技術(shù)發(fā)展趨勢66根據(jù)工藝和結(jié)構(gòu)的不同,可將IC分為三類:

半導體IC或稱單片(Monolithic)IC

——集成度高、體積小、生產(chǎn)效率高,適合規(guī)模生產(chǎn)。難以制作高精度、高阻值的電阻和大容量電容以及電感。

膜IC,又可分為兩種

厚膜電路——用于制作電阻器、電容器以及相互間的電連接。比單片IC面積大,一般功率較大,頻率較高(可達1GHz)。主要工藝為漏?。ńz網(wǎng)印刷)。設備費用和材料費用低。膜層典型厚度約為20m,最小導電帶寬度250m,最小電阻器寬度約1250m。3.集成電路的分類67

薄膜電路——主要用以制作電阻器和電容器。可通過激光修條精確調(diào)整阻值,性能和溫度特性優(yōu)良。主要工藝涂敷、淀積、光刻、腐蝕等。所需設備復雜,費用較高。典型膜厚1000500?。

混合IC(HybridIC)——指將兩個或更多的不同類型集成電路芯片、有時也包括一些分立元件,組合成一個整體,密封在一個管殼內(nèi),構(gòu)成所謂HIC。HIC一般體積較大,但性能得以提高。

本課程的研究對象為以硅單晶為襯底的半導體集成電路或微電子集成電路。68按器件結(jié)構(gòu)類型分類雙極集成電路:主要由雙極晶體管構(gòu)成只含NPN型晶體管的雙極集成電路(數(shù)字電路)含NPN型及PNP型晶體管的雙極集成電路(模擬電路)金屬-氧化物-半導體(MOS)集成電路:主要由MOS晶體管(單極晶體管)構(gòu)成NMOSPMOSCMOS(互補MOS)

雙極-MOS(Bi-MOS)集成電路:同時包括雙極和MOS晶體管的集成電路為Bi-MOS集成電路,綜合了雙極和MOS器件兩者的優(yōu)點,但制作工藝復雜優(yōu)點是速度高、驅(qū)動能力強,缺點是功耗較大、集成度較低功耗低、集成度高,隨著特征尺寸的縮小,速度也可以很高69

規(guī)摸大小通常按集成度或每個芯片的門數(shù)來劃分,如下表所示(以邏輯IC為例)。集成電路規(guī)模的劃分此外,還有按其他標準的一些IC分類,如按電路功能和所處理信號的不同,可分數(shù)字或邏輯IC(Digital/LogicIC)、模擬IC(AnalogIC)和數(shù)?;旌螴C(Digital-AnalogMixedIC);根據(jù)所采用晶體管的不同,又可分為雙極型IC和MOS型IC。

70按集成電路規(guī)模分類集成度:每塊集成電路芯片中包含的元器件數(shù)目小規(guī)模集成電路(SmallScaleIC,SSI)中規(guī)模集成電路(MediumScaleIC,MSI)大規(guī)模集成電路(LargeScaleIC,LSI)超大規(guī)模集成電路(VeryLargeScaleIC,VLSI)特大規(guī)模集成電路(UltraLargeScaleIC,ULSI)巨大規(guī)模集成電路(GiganticScaleIC,GSI)

盡管英語中有VLSI,ULSl和GSI之分,但VLSI使用最頻繁,其含義往往包括了ULSI和GSI。中文中把VLSI譯為超大規(guī)模集成,更是包含了ULSI和GSI的意義。71集成電路的分類72劃分集成電路規(guī)模的標準

請注意數(shù)字IC和模擬IC規(guī)模標準的不同:數(shù)字IC中有很多重復單元,特別是存儲器電路。而模擬IC中的各個單元都是經(jīng)過專門設計的。73

4、集成電路設計流程及設計環(huán)境

集成電路發(fā)展的過程中,數(shù)字電路曾經(jīng)以其基本單元數(shù)量少,易于大規(guī)模集成而占據(jù)主導地位,其發(fā)展的總趨勢是革新工藝、提高集成度和速度。在此過程中,電路設計大多在工藝制造單位內(nèi)部的設計部門進行。這樣的設計是有生產(chǎn)線集成電路發(fā)計。在這一階段,無生產(chǎn)線單位一方面難以加入花巨額投資才有可能參與的工藝革新競爭行列,另一方面難以參與芯片設計和實現(xiàn)。74由于集成電路器件制造能力按每3年翻兩番,即每年58%的速度提升,而電路設計能力每年只以21%的速度提升,電路設計能力明顯落后于器件制造能力,且其鴻溝(gap)呈現(xiàn)越來越變寬的趨勢。工藝線建設投資費用越來越高。目前一條8英寸0.35μm工藝線的投資約20億美元,但在幾年內(nèi)一條12英寸0.09μm工藝線的投資將超過100億美元。如此巨額投資已非單獨一個公司,甚至一個發(fā)展中國家所能單獨負擔的。75

隨著技術(shù)的進步,建廠費用呈指數(shù)增加,這時必然出現(xiàn)兩種趨向:各相關公司聯(lián)合建廠IBM、Infineon與UMC的聯(lián)合將更多業(yè)務交給Foundry,降低成本Motorola已經(jīng)表示到2001年,將有50%以上的產(chǎn)能需從外部提供76在國外,現(xiàn)在已有眾多這樣的公司在運作,如美國硅谷就有200多家Fabless集成電路設計公司,其中有50多家上市公司:臺灣有這樣的大中型公司100多家。芯片設計單位和工藝制造單位的分離,即芯片設計單位可以不擁有生產(chǎn)線而存在和發(fā)展,而芯片制造單位致力于工藝實現(xiàn)(代客戶加工,簡稱代工),已成為集成電路技術(shù)發(fā)展的一個重要特征。77

下圖形象地給出集成電路的無生產(chǎn)線設計與代工制造之間的關系。我們可以沿著圖中從代工單位左上行到設計單位、再右直行到代工單位、最后左下行到設計單位的S曲線對整個集成電路設計和制造過程加以描述。

集成電路的無生產(chǎn)線設計與代工制造之間的關系設計單位代工單位78PDK文件首先,代工單位將經(jīng)過前期開發(fā)確定的一套工藝設計文件PDK(PocessDesignKits)通過因特網(wǎng)傳送給設計單位。PDK文件包括:工藝電路模擬用的器件的SPICE參數(shù),版圖設計用的層次定義,設計規(guī)則,晶體管、電阻、電容等元件和通孔(VIA)、焊盤等基本結(jié)構(gòu)的版圖,與設計工具關聯(lián)的設計規(guī)則檢查(DRC)、參數(shù)提取(EXT)和版圖電路對照(LVS)用的文件。79電路設計和電路仿真設計單位根據(jù)研究項目提出的技術(shù)指標,在自己掌握的電路與系統(tǒng)知識的基礎上,利用PDK提供的工藝數(shù)據(jù)和CAD/EDA工具,進行電路設計、電路仿真(或稱模擬)和優(yōu)化、版圖設計、設計規(guī)則檢查DRC、參數(shù)提取和版圖電路圖對照LVS,最終生成通常稱之為GDS-Ⅱ格式的版圖文件。再通過因特網(wǎng)傳送到代工單位。80掩模與流片代工單位根據(jù)設計單位提供的GDS-Ⅱ格式的版圖數(shù)據(jù),首先制作掩模(Mask),將版圖數(shù)據(jù)定義的圖形固化到鉻板等材料的一套掩模上。一張掩模一方面對應于版圖設計中的一層的圖形,另一方面對應于芯片制作中的一道或多道工藝。在一張張掩模的參與下,工藝工程師完成芯片的流水式加工,將版圖數(shù)據(jù)定義的圖形最終有序的固化到芯片上。這一過程通常簡稱為“流片”。81參數(shù)測試和性能評估設計單位對芯片進行參數(shù)測試和性能評估。符合技術(shù)要求時,進入系統(tǒng)應用。從而完成一次集成電路設計、制造和測試與應用的全過程。否則再進行改進和優(yōu)化,才能進入下一次循環(huán)直至成功。82國內(nèi)可用Foundry(代客戶加工)廠家

5、集成電路制造途徑83國內(nèi)在建、籌建Foundry(代客戶加工)廠家上海:“中芯”,8”,0.25m,2001.10“宏力”,8”,0.25m,2002.10“華虹-II”,8”,0.25m,籌建臺積電(TSMC),已宣布在松江建廠北京:首鋼NEC,8”,0.25m,籌建天津:Motolora,8”,0.25m,動工蘇州:聯(lián)華(UMC),已宣布在蘇州建廠84境外代工廠家一覽表85多項目晶圓技術(shù)(MPW)Chip1Chip1Chip6Chip2Chip5Chip4Chip3$30000$30000<$5000866、集成電路設計需要的知識范圍

集成電路發(fā)展到現(xiàn)在的SOC,既不再是模擬的“放大器”或數(shù)字的“與非門”一類的基本單元電路的概念,也不再是模擬的“鎖相環(huán)”或數(shù)字的“全加器”一類的功能電路的概念,甚至不再是模擬的“接收機”或數(shù)字的“CPU”一類子系統(tǒng)的概念,而是變成了包含多種模擬和數(shù)字子系統(tǒng)、硬件和軟件功能的復雜的信息處理系統(tǒng)。因此,集成電路設計需要的知識范圍已大為擴展。概括起來可分為以下四個方面。87(1)系統(tǒng)知識

這里的系統(tǒng)范圍很廣:對于計算機學科,有計算機的軟硬件系統(tǒng):對于通信學科,有程控電話系統(tǒng)、無線通信系統(tǒng)、光纖通信系統(tǒng)等;對于信息學科,有各種信息處理系統(tǒng);對于控制學科,有各種控制系統(tǒng)。如果說以往從事系統(tǒng)研究的工程師是在器件和電路工程師完成的工作基礎上構(gòu)建系統(tǒng)的話,到了SOC時代,系統(tǒng)工程師必須親自參與SOC級別集成電路的設計。另一方面,以往的器件和電路工程師在SOC時代必須熟悉系統(tǒng),以實現(xiàn)SOC的設計。這就是說,所有的集成電路設計工程師都必須掌握一定的系統(tǒng)知識。這些知識包括軟件和硬件兩個方面。對于從事前端設計的工程師來講,則必須對系統(tǒng)的理解達到精通的程度。88(2)電路知識

既然是集成電路設計,電路知識就是核心知識。集成電路設計工程師,特別是在邏輯門級、晶體管級和版圖級從事設計的工程師,必須對各類功能電路和基本單元電路的原理和設計技術(shù)達到融會貫通的程度。集成電路設計相對于數(shù)字電路、模擬電路和模數(shù)混合電路設計需要更多的知識、技術(shù)和經(jīng)驗。射頻電路RFIC、微波單片集成電路MMIC、毫米波單片集成電路MMIC,Gb/s速度級超高速集成電路的設計,更需要特殊的知識、技術(shù)和經(jīng)驗。89(3)工具知識

從VLSI到SOC,芯片上晶體管的數(shù)目達到了數(shù)千萬量級,它們形成的網(wǎng)絡方程的階數(shù)可能達到同樣量級。我們知道,小于l0階的線性方程也許還可用手工求解,10階以上就很難想像用手工計算了。何況晶體管本身是非線性器什,由它們組成的網(wǎng)絡方程是高度復雜的非線性方程。另外,系統(tǒng)級芯片不僅包含硬件部分,還包括軟件部分。這樣的芯片絕非用手工可以分析和設計的。事實上,從小規(guī)模集成電路開始,人們就引入了計算機輔助設計(CAD,computer-aideddesign)技術(shù),開發(fā)了一系列CAD軟件工具。SPICE程序就是著名的集成電路分析程序,經(jīng)過30余年的發(fā)展,如今己成為集成電路設計的工業(yè)標準。90

隨著設計自動化程度的提高,出現(xiàn)了如Cadence、Synopsis和MentorGraphics等開發(fā)電子設計自動化(EDA,electronicdesignautomation)的專業(yè)公司,開發(fā)出一系列EDA軟件工具。現(xiàn)在,從功能驗證,邏輯分析和綜合,電路分析到版圖設計都有多家公司提供的多種類型軟件工具的支持。因此,集成電路設計工程師必須根據(jù)所從事的設計仟務和內(nèi)容掌握相應的軟件工具。譬如,在邏輯電路級從事設計的工程師就需要掌握VHDL或Verilog等硬件描述語言和相應的分析和綜合工具。在晶體管級從事電路設計的工程師就需要掌握SPICE或類似的電路分析工具。設計版圖時則需要版圖設計工具。91(4)工藝知識

集成電路的設計,特別是涉及后端(back-end)即物理層(physicallayer)的設計與工藝制造息息相關。無生產(chǎn)線加代工模式的IC設計工程師雖然不需要直接參與集成電路的工藝流程,掌握工藝的每一個細節(jié),但掌握IC設計所用元器件的特性和物理數(shù)學模型,了解制造工藝的基本原理和過程,對于IC的成功設計是大有幫助的。事實上,集成電路電路設計工程師最好是熟悉集成電路制造過程中從芯片外延和掩膜制作,一步步光刻、材料淀積和刻蝕、雜質(zhì)擴散或注入,一直到劃片封裝的全過程,關心每一步工藝對元器件和電路性能的影響。這樣才能讀懂代工工藝廠家提供的設計文件(DesignKits),全面地利用、甚至充分地挖掘出工藝的潛力,在現(xiàn)有工藝的基礎上,成功地創(chuàng)造出功能最強和性能最佳的集成電路。92

7半導體IC技術(shù)發(fā)展趨勢

7.1提高集成度的途徑

1、微細加工技術(shù)的提高

微細加工技術(shù)水平通常用特征尺寸CD表征。影響微細加工技術(shù)極限的因素,主要是光刻精度。隨著技術(shù)的不斷發(fā)展,體現(xiàn)為EUV(特短紫外光)的發(fā)展和電子束投影曝光技術(shù)的發(fā)展??偟膩砜?,微細加工技術(shù)是沿著如下軌跡持續(xù)推進的:微米3、2、1m亞微米0.90.5m深亞微米(0.5m)0.180.12m納米(0.1m)。大約每代產(chǎn)品的特征尺寸縮小0.7倍。93

2、芯片面積擴大

隨著IC芯片功能的日益強大,電路系統(tǒng)也更加復雜,單芯片面積也不斷增大,以容納更多的元器件和子單元。單片面積已由10mm2擴大到100mm2甚至幾百mm2。大約每代產(chǎn)品的芯片面積增大1.5倍。

3、大圓片Wafer,大直徑化

圓片大直徑化的發(fā)展:4″

5″

6″

8″10″12″

16″(1″=1英寸=2.54cm=25.4mm)

4、簡化電路結(jié)構(gòu)

半導體IC的持續(xù)發(fā)展,不僅有賴于材料和工藝技術(shù)的進步,還需要從設計的角度出發(fā),開發(fā)新型的電路結(jié)構(gòu),以盡可能少的元件,實現(xiàn)預期的設計指標和性能。94

7.221世紀微電子芯片技術(shù)展望

21世紀硅微電子芯片將沿著以下四個方向發(fā)展:1、繼續(xù)沿著Moore定律前進;2、片上系統(tǒng)(SOC);3、靈巧芯片,或賦予芯片更多的靈氣;4、硅基的量子器件和納米器件。1、特征尺寸繼續(xù)等比例縮小,沿著Moore定律繼續(xù)高速發(fā)展所謂Moore定律是在1965年由INTEL公司的Gordon.Moore提出的,其內(nèi)容是硅集成電路按照4年(后來發(fā)展到3~4年)為一代、每代的芯片集成度要翻兩番、工藝線寬約縮小30%、IC工作速度提高1.5倍等發(fā)展規(guī)律發(fā)展。GordonE.Moore博士-1965年95

沿著Moore定律發(fā)展,必然會提出微電子加工尺度和器件尺度的縮小有無極限的問題.對于加工技術(shù)極限,主要是光刻精度,隨著技術(shù)的不斷發(fā)展,體現(xiàn)為EUV(特短紫外光)的發(fā)展和電子束投影曝技術(shù)的發(fā)展。現(xiàn)在看來,這一極限在近期內(nèi)將不會影響芯片的進步。另一方面,來自器件結(jié)構(gòu)(MOS)晶體管的某些物理本質(zhì)上的限制,如量子力學測不準原理和統(tǒng)計力學熱漲落等,可能會使MOSFET縮小到一定程度后不能再正常工作,這就有可能改變今日硅芯片以CMOS為基礎的局面。96

為了突破MOS器件的物理極限,發(fā)展下一代微電子芯片,科技界正在研究各種可能的新一代微電子器件,包括:單電子晶體管、量子隧道器件、分子器件(或統(tǒng)稱納電子學)、厚膜器件和功能器件等等。如果它們中有所突破,那么只要信息化社會發(fā)展有需要,微電子芯片仍將沿著Moore定律發(fā)展。972、片上芯片(SOC):微電子由集成電路(IC)向集成系統(tǒng)(IS)發(fā)展

片上芯片(SystemOnaChip)的概念是20世紀90年代提出來的,它的目標是為了克服多芯片集成系統(tǒng)所產(chǎn)生的一些困難,通過提高芯片集成的系統(tǒng)功能以獲得更高的系統(tǒng)性能。例如,現(xiàn)在的CPU芯片已可做到延時小于幾十ps皮秒的工作速度,可是如果存儲器芯片仍是分離于CPU,則由于存取時間及訪址延時等限制,這一高速度在計算系統(tǒng)中根本就不能發(fā)揮出來。這就要求把它們有機地集成到一個芯片上去。又如,即便使用光束傳輸信號,其延時也有3.3皮秒/毫米。所以把高速傳輸?shù)男盘栆鲂酒?,通過PCB來將多芯片集成系統(tǒng)的方法顯然已不可行。98

實際上,即使是封裝與芯片壓焊塊間的連線,由于寄生效應,今后在高速芯片中也要被取消。而采用所謂芯片尺寸封裝(CSP),即封裝的大小與芯片大小相一致而直接采用倒裝焊,顯然也會大大限制引出線的數(shù)目。實際上也只有把更多功能集成到一個芯片上才能解決今后的管腳數(shù)“爆炸”、測試困難和成本高等一系列問題。由此可見,SOC是微電子芯片進一步發(fā)展的必然方向。90年代以來,SOC已成為微電子芯片技術(shù)發(fā)展的熱點,現(xiàn)在其市場占IC總市場份額的10%以上,預估,21世紀初期可達50%以上?,F(xiàn)在的SOC發(fā)展還在初級階段,需解決一系列工藝(如DRAM、Flash與Logic技術(shù)的兼容)、設計(如IP模塊—智權(quán)模塊,又稱IP核Intellectual-PropertyCore)技術(shù)和設計方法、測試策略及可測試性等技術(shù)課題。99

現(xiàn)在的SOC芯片有三種主要類型,一種是以MPU為核心,集成各種存儲器、控制電路、時鐘電路,乃至I/O和A/D、D/A功能于一個芯片上;另一種是以DSP為核心,多功能集成為SOC;再一種則是上兩種的混合或者把系統(tǒng)算法與芯片結(jié)構(gòu)有機地集成為SOC。它們在IP利用率、通用性、芯片利用率、性能以及設計周期等方面各具優(yōu)缺點,因此當前兼容共存。1003、賦予微電子芯片更多的“靈氣”微機械電子系統(tǒng)(MEMS)和微光電機系統(tǒng)(MOEMS),生物芯片(biochip)等是20世紀90年代初快速熱起來的新技術(shù),被稱為硅半導體技術(shù)或微電子技術(shù)的又一次革命。它的核心是把電子信息系統(tǒng)中的信息獲取、信息執(zhí)行與當前信息處理等主要功能集成于一個芯片上(它們在當前的計算機系統(tǒng)中是分立的)。101

從機械、光學、化學和生物等器件或系統(tǒng)來看,除了微型化以外,它還賦予這些器件和系統(tǒng)以一定的處理智能。從電子信息系統(tǒng)芯片看,這一技術(shù)等于把原來的電腦芯片集成了五官和四肢,并使之成為一個有機體,所以說這使芯片增加了“靈氣”。硅微機械電子系統(tǒng)MEMS(包括光機電、生物機電、化學機電與系統(tǒng))發(fā)展的根據(jù)是:硅不僅是很優(yōu)秀的電子材料,而且,作為半導體,它也是對各種環(huán)境能作出靈敏反應的很好的傳感器材料,它的屈服強度、楊氏模量、熱膨脹性能等均不亞于不銹鋼。因此,它還是很好的機械材料。102

在微電子工藝技術(shù)基礎上,通過多年的研究開發(fā),現(xiàn)在,把整個微機械電子系統(tǒng)MEMS系統(tǒng)集成于一個芯片上的“靈巧”芯片技術(shù)已經(jīng)逐漸成熟,MEMS各類器件和系統(tǒng)的年產(chǎn)值已經(jīng)達到以百億美元計的水平。在實驗室中或小批研制中已出現(xiàn)了如微型化學實驗室芯片、微光學平臺芯片,乃至包括DNA芯片在內(nèi)的各種生物芯片等等。這些芯片不僅由于其“微”(體積小),更因其反應速度快,能耗和材料消耗少,以及更符合環(huán)保條件等而備受注視。各種靈巧芯片無疑在21世紀將大展威力,成為促進信息社會迅速發(fā)展的又一技術(shù)支柱。如果在過去40年人們可以用制作的工藝尺寸(如用多少微米或亞微米技術(shù))的精細度來標志微電子芯片的水平,那么在今后的40年里,人們更需用芯片具有多大“靈氣”來描述其先進性了。1034、硅基的量子器件和納米器件

前者理論是清楚的,但從器件發(fā)展到電路,所需的技術(shù)仍處于發(fā)展之中,要進入到比較普遍的應用估計仍需一二十年的時間。至于納米器件,目前多以原子和分子自組裝技術(shù)與微電子超深亞微米加工技術(shù)相結(jié)合的方法進行,特別是近年來碳納米管的發(fā)展令人注目,在速度、集成度、特別是功耗方面都將有重大突破,但離開實際應用可能比硅基量子器件要更遠一些。原文見王陽元院士在“納米CMOS器件”書中寫的序(2004年1月科學出版社出版)。1048我國微電子發(fā)展概況

1.我國微電子學的歷史

1956年五校在北大聯(lián)合創(chuàng)建半導體專業(yè):北京大學、南京大學、復旦大學、吉林大學、廈門大學。教師:黃昆、謝希德(女)、高鼎三、林蘭英(女);學生:王陽元、許居衍、陳星弼、秦國剛…2003年9月成立9個國家IC人才培養(yǎng)基地北大、清華、復旦、浙大、西電、上交大、成電、東南、華中科大105人才培養(yǎng):北大,清華,復旦大學,浙江大學,西安交通大學,上海交通大學,華中科技大,電子科技大學,西安電子科技大,華南理工大學,哈爾濱工業(yè)大學,西北工業(yè)大學,上海同濟大學,北京航空航天大學,東南大學。15個IC人才培養(yǎng)基地PekingUniversity106

1982年:成立電子計算機和大規(guī)模集成電路領導小組80年代:初步形成三業(yè)分離狀態(tài)制造業(yè)、設計業(yè)、封裝與測試業(yè)到2001年12月29日深圳獲批為止,科技部依次批準了上海、西安、無錫、北京、成都、杭州、深圳共7個國家級IC設計產(chǎn)業(yè)化基地。除了這7個城市外,據(jù)悉還有武漢、長沙、哈爾濱、珠海等多個城市申請,但未獲批準。107

集成電路產(chǎn)業(yè)由集成電路設計、芯片加工、封裝與測試三大部分組成。

隨著IC設計的重要性的凸顯及我國IC設計大環(huán)境的改善,IC設計企業(yè)規(guī)模小、水平較低等,日益成為困擾我國IC業(yè)發(fā)展的難題,其中,IC高級設計人才的匱乏成為尚處于起步階段的我國集成的最突出的難題。雖然我國每年約有40萬理工科大學生畢業(yè)以及數(shù)千名從海外回國的技術(shù)人員,但其中真正與IC設計相關的專業(yè)人才卻非常有限。我國是一個集成電路(IC)的“消費大國”,但同時又是一個

IC的“生產(chǎn)小國”。108北京上海無錫杭州深圳西安成都全國共有7個IC產(chǎn)業(yè)化基地設計業(yè)已經(jīng)有典型產(chǎn)品出現(xiàn):嵌入式CPU:方舟,龍芯.CPU:中星微(世界市場份額40%)IC卡:華大,清華同方,大唐等.國內(nèi)市場10億件…109

我國國產(chǎn)IC約占世界半導體銷售額的1%,國內(nèi)市場滿足率不到20%。要發(fā)展我國的

IC產(chǎn)業(yè),IC設計是當務之急,而核心技術(shù)的實現(xiàn)依賴的是高水平

IC設計人才。前些年我國的電子產(chǎn)品雖然發(fā)展很快,但幾乎所有國產(chǎn)大型家用電器的關鍵芯片、國產(chǎn)手機的核心芯片、國產(chǎn)計算機的主要芯片,大都不是國產(chǎn)的,不是我國設計師設計的。其中的原因是,在集成電路(IC)領域里最能體現(xiàn)核心競爭力的我國集成電路設計,其發(fā)展正在為人才所困。

110

據(jù)不完全統(tǒng)計,根據(jù)全國半導體行業(yè)協(xié)會集成電路設計分會在2002年10月的統(tǒng)計,國內(nèi)從事集成電路設計的公司(或組織)約390家,2002年底己超過400家,目前己達600家。而在2000年底這一數(shù)字僅為100家左右。但是相對雨后春筍般誕生的設計公司,設計人才特別是高級人才的極度匱乏成為日益突出的大問題:一些新開辦的設計單位,公司注冊了、牌子也掛了,卻到處找不到高水平的設計師,虛位以待的情況比比皆是。更糟糕的是由于設計師的緊缺,導致了各用人單位之間對這類人才的惡性爭奪。

111

集成電路設計是資金密集型、技術(shù)密集型和智力密集型的高科技產(chǎn)業(yè),其中資金和技術(shù)均可以通過一些方式全面引進,但IC設計人才必須以自己培養(yǎng)為主,這已經(jīng)成為業(yè)內(nèi)人士的共識。賽迪顧問認為,隨著IC設計人才供需矛盾的日益突出,應采用各種手段大力鼓勵不同途徑的IC設計教育和培訓,除高等院校的正規(guī)教育外,國家應尤其鼓勵工業(yè)界和科研界聯(lián)合運作教育和培訓項目。借助政府、高校、EDA廠商、IC設計企業(yè)以及整機企業(yè)等各方面力量,合作、交流、培訓等多種方式相結(jié)合,為我國IC設計業(yè)培養(yǎng)不同層次的IC人才,是集成電路的發(fā)展至關重要的智力資源保障。112

最近幾年,很多國外公司和臺灣公司把生產(chǎn)線建到了上海。隨著我國集成電路產(chǎn)業(yè)的加速發(fā)展,由國家支持成立了以北京、上海為龍頭的7個國家級產(chǎn)業(yè)化基地,各地也出現(xiàn)了一大批集成電路企業(yè),其中約600家是集成電路設計企業(yè)。據(jù)2001年12月上海半導體和IC研討會發(fā)布的消息,到2008年,中國IC產(chǎn)業(yè)對IC設計工程師的需求量將達到25萬人,而目前只有不到4000名。IC設計是新興學科,現(xiàn)在高校里,和IC最相近的專業(yè)是微電子。113

在短短的半年多時間里,上海中芯國際、上海宏力微電子、北京首鋼、北京信創(chuàng)、天津摩托羅拉等一批中高水平的集成電路生產(chǎn)線相繼開工建設或即將建設,形成了我國有史以來最大的一次建設集成電路生產(chǎn)線的高潮。上海還定出了宏偉的發(fā)展目標:建成以張江高科技園區(qū)為核心,以金橋出口加工區(qū)和外高橋保稅區(qū)為延伸的微電子產(chǎn)業(yè)基地,計劃"十五"期間吸引集成電路產(chǎn)業(yè)投資150億美元,建成并投產(chǎn)10~15條8~12英寸集成電路生產(chǎn)線及配套封裝、測試線和設計公司。114

北京立即跟進,出臺了優(yōu)惠政策,為集成電路企業(yè)提供“七通一平”的土地,并優(yōu)選出八大處高科技園、北京林河工業(yè)開發(fā)區(qū)、北京經(jīng)濟開發(fā)區(qū)作為北方微電子生產(chǎn)建設基地。規(guī)劃到2005年以前,建設5~8條8英寸0.25微米以上水平的生產(chǎn)線,2005~2010年再建設10條更高水平的生產(chǎn)線。115

我國集成電路設計企業(yè)現(xiàn)已形成了五百家的產(chǎn)業(yè)規(guī)模,其中具備一定設計規(guī)模的單位有20多家,留學海外,學有所成,回國創(chuàng)業(yè)的海外學子已成為CAD行業(yè)的一支重要力量。除獨資設計公司外,國有集成電路設計公司2000年的總銷售額超過了10億元,其中北京華大、北京大唐微電子、杭州士蘭公司和無錫矽科4家設計公司的銷售額超過了1億元。目前,國內(nèi)每年設計的集成電路品種超過300種,大部分設計公司的技術(shù)水平在0.8~1.5微米之間,最高設計水平可達0.13微米。116

中國主要的高科技城市一直盯著集成電路(IC)設計產(chǎn)業(yè)。如果說在2000年和2001年他們爭奪的是臺灣芯片加工服務廠(foundry)的8英寸芯片生產(chǎn)線西移項目的話(當然,這種競爭至今仍在繼續(xù))。那么從2001年下半年至今,他們爭奪的則是國家科技部的青睞--科技部手里捏著一頂名叫“國家級集成電路設計產(chǎn)業(yè)化基地”的桂冠,誰獲發(fā)一頂受益無窮。

117

目前,我國集成電路產(chǎn)業(yè)已具備了一定的發(fā)展基礎,初步形成了由8個芯片生產(chǎn)骨干企業(yè),十幾家封裝廠、幾十家設計公司、若干個關鍵材料及專用設備儀器制造廠組成的產(chǎn)業(yè)群體,并初步形成了電路設計、芯片制造和電路封裝三業(yè)并舉的局面。118

2.我國集成電路的發(fā)展現(xiàn)狀

2002年中國信息技術(shù)趨勢大會上專家指出的IC技術(shù)是IT領域熱點技術(shù)之一;IC是整個電子信息產(chǎn)業(yè)乃至國民經(jīng)濟的基礎。

目前我國的半導體集成電路生產(chǎn)分為三大類:IC設計公司(Fabless,無生產(chǎn)線)國內(nèi)半導體芯片廠家的主流產(chǎn)品是5至6英寸硅片,大約占總量的三分之二強。隨著上海華虹NEC公司8英寸生產(chǎn)線的投產(chǎn),6至8英寸硅片的需求量將上升。芯片加工廠(Foundry)我國集成電路芯片制造業(yè)現(xiàn)己相對集中,主要分布在上海、北京、江蘇、浙江等省市。后工序(測試、封裝、設備) 其中IC設計以人為主,腦力密集型,屬高回報產(chǎn)業(yè)。119

3.我國集成電路生產(chǎn)能力方面:

93年生產(chǎn)的集成電路為1.78億塊,占世界總產(chǎn)量的0.4%,相當于美國1969年的水平,日本1971年的水平。96年為7.09億塊,而1996年國內(nèi)集成電路市場總用量為67.8億塊,國內(nèi)市場占有率僅為10%。99年為23億塊,銷售額70多億元,國內(nèi)市場占有率不足20%,絕大部分依靠進口。2000年需求量為180億塊,預計可生產(chǎn)32億塊。總之,我國集成電路產(chǎn)業(yè)的總體發(fā)展水平還很低,與國外相比大約落后15年。但是,目前已具備0.25微米芯片設計開發(fā)和0.18微米芯片規(guī)模生產(chǎn)能力,以“方舟”、“龍芯”為代表的高性能CPU芯片開發(fā)成功,標志著我國已掌握產(chǎn)業(yè)發(fā)展的部分重大核心技術(shù)。120

中國半導體產(chǎn)業(yè)發(fā)展從產(chǎn)業(yè)熱土的長江三角洲,到市場繁華的珠江三角洲,從長于研發(fā)的北方,到人才集聚的西部,有人把這種產(chǎn)業(yè)布局,比喻是一只正在起飛的嬌燕。其中長江三角洲是燕頭,京津環(huán)渤海灣地區(qū)和珠江三角洲是雙翅,而西部是燕尾。中國的IC產(chǎn)業(yè)正是以這種燕子陣形的區(qū)域格局向前推進。4.我國微電子發(fā)展展望1211)長江三角洲地區(qū)

以上海、江蘇、浙江為主的長江三角洲,初步形成了開發(fā)、設計、芯片制造、封裝測試及支撐業(yè)和服務在內(nèi)的完整的IC產(chǎn)業(yè)鏈。IC業(yè)界所期待的,關乎產(chǎn)業(yè)發(fā)展環(huán)境的“聚集”效應在這里顯現(xiàn)。并在整個中國IC產(chǎn)業(yè)格局中舉足輕重。

長三角地區(qū)集成電路產(chǎn)業(yè)基礎雄厚,這里有國家“908”、“909”主體工程,集中了集成電路芯片制造和封裝的骨干企業(yè),杭州士蘭等一大批民營IC企業(yè)在這里迅速成長。國務院18號文件頒布后,這里更成為集成電路投資的熱土。中芯、宏力、和艦等紛紛在這里投資建芯片制造廠,英特爾等一大批國際知名大企業(yè)在這里新建或增資封裝廠;而科技部布點在這里三個IC設計產(chǎn)業(yè)化基地。更是推動著這里設計業(yè)的增長,僅上海的IC設計企業(yè)就有100家之多。122江蘇:具備良好的IC產(chǎn)業(yè)發(fā)展基礎

江蘇省半導體產(chǎn)業(yè)目前已經(jīng)形成了設計、芯片制造、封裝、測試、配套材料完整的產(chǎn)業(yè)格局,有著良好的基礎,在國內(nèi)同行業(yè)中具有明顯的比較優(yōu)勢。以蘇州、無錫、昆山為主的產(chǎn)業(yè)分布充分發(fā)揮了產(chǎn)業(yè)發(fā)展的聚集,輻射和示范作用。并為進一步參與國際競爭奠定了堅實的基礎。

目前,江蘇省半導體產(chǎn)業(yè)已具備了0.18微米設計技術(shù)的0.6微米的大生產(chǎn)技術(shù)和6英寸硅片月產(chǎn)1萬片的生產(chǎn)能力,年產(chǎn)集成電路芯片2億塊、封裝集成電路15億塊,晶體管生產(chǎn)超過20億只,以及比較完整的材料及設備支撐配套體系。2001年集成電路產(chǎn)業(yè)銷售額達到30億元,并成為我國集成電路產(chǎn)業(yè)重要基地之一。123浙江:找準半導體產(chǎn)業(yè)特色定位

浙江省微電子產(chǎn)業(yè)的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論