第(6)章 時(shí)序邏輯電路_第1頁
第(6)章 時(shí)序邏輯電路_第2頁
第(6)章 時(shí)序邏輯電路_第3頁
第(6)章 時(shí)序邏輯電路_第4頁
第(6)章 時(shí)序邏輯電路_第5頁
已閱讀5頁,還剩58頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第6章時(shí)序邏輯電路6.1

雙穩(wěn)態(tài)觸發(fā)器6.2寄存器6.3計(jì)數(shù)器觸發(fā)器是構(gòu)成時(shí)序邏輯電路的基本邏輯部件。它有兩個(gè)穩(wěn)定的狀態(tài):0狀態(tài)和1狀態(tài);在不同的輸入情況下,它可以被置成0狀態(tài)或1狀態(tài);當(dāng)輸入信號(hào)消失后,所置成的狀態(tài)能夠保持不變。所以,觸發(fā)器可以記憶1位二值信號(hào)。根據(jù)邏輯功能的不同,觸發(fā)器可以分為RS觸發(fā)器、D觸發(fā)器、JK觸發(fā)器、T和T′觸發(fā)器;按照結(jié)構(gòu)形式的不同,又可分為基本RS觸發(fā)器、同步觸發(fā)器、主從觸發(fā)器和邊沿觸發(fā)器。6.1雙穩(wěn)態(tài)觸發(fā)器

6.1基本觸發(fā)器一、基本RS觸發(fā)器

1.用與非門組成的基本RS觸發(fā)器(1)電路結(jié)構(gòu):由兩個(gè)門電路交叉連接而成。置0端置1端低電平有效觸發(fā)器有兩個(gè)互補(bǔ)的輸出端,(2)邏輯功能當(dāng)Q=1,=0時(shí),稱為觸發(fā)器的1狀態(tài)。當(dāng)=1,Q=0時(shí),稱為觸發(fā)器的0狀態(tài)。Qn+1RS功能Qn特性表

01011100置000011R稱為置0輸入端低電平有效Qn叫狀態(tài)變量。含狀態(tài)變量的真值表叫特性表Qn+1RS功能Qn特性表

01置00001觸發(fā)器有兩個(gè)互補(bǔ)的輸出端,(2)邏輯功能當(dāng)Q=1,=0時(shí),稱為觸發(fā)器的1狀態(tài)。當(dāng)=1,Q=0時(shí),稱為觸發(fā)器的0狀態(tài)。101000111置11101S稱為置1輸入端低電平有效Qn+1RS功能Qn特性表

01置00001觸發(fā)器有兩個(gè)互補(bǔ)的輸出端,(2)邏輯功能當(dāng)Q=1,=0時(shí),稱為觸發(fā)器的1狀態(tài)。當(dāng)=1,Q=0時(shí),稱為觸發(fā)器的0狀態(tài)。10置1110111111100001011保持Qn+1RS功能Qn特性表

01置00001觸發(fā)器有兩個(gè)互補(bǔ)的輸出端,(2)邏輯功能當(dāng)Q=1,=0時(shí),稱為觸發(fā)器的1狀態(tài)。當(dāng)=1,Q=0時(shí),稱為觸發(fā)器的0狀態(tài)。10置1110111001111011011保持00不定××01(3)波形分析

例1

在用與非門組成的基本RS觸發(fā)器中,設(shè)初始0,已

知輸入R、S的波形圖,畫出兩輸出端的波形圖。基本觸發(fā)器的特點(diǎn)總結(jié):(1)有兩個(gè)互補(bǔ)的輸出端,有兩個(gè)穩(wěn)定的狀態(tài)。(2)有復(fù)位(Q=0)、置位(Q=1)、保持原狀態(tài)三種功能。(3)R為復(fù)位輸入端,S為置位輸入端,可以是低電平有效,也可以是高電平有效,取決于觸發(fā)器的結(jié)構(gòu)。(我們講的是低電平有效)(4)無論是復(fù)位還是置位,有效信號(hào)只需要作用很短的一段時(shí)間,即“一觸即發(fā)”。二、同步RS觸發(fā)器

給觸發(fā)器加一個(gè)時(shí)鐘控制端CP,只有在CP端上出現(xiàn)時(shí)鐘脈沖時(shí),觸發(fā)器的狀態(tài)才能改變。這種觸發(fā)器稱為同步觸發(fā)器。

1.同步RS觸發(fā)器的電路結(jié)構(gòu)2.邏輯功能當(dāng)CP=0時(shí),控制門G3、G4關(guān)閉,觸發(fā)器的狀態(tài)保持不變。當(dāng)CP=1時(shí),G3、G4打開,其輸出狀態(tài)由R、S端的輸入信號(hào)決定。同步RS觸發(fā)器的狀態(tài)轉(zhuǎn)換分別由R、S和CP控制,其中,R、S控制狀態(tài)轉(zhuǎn)換的方向;CP控制狀態(tài)轉(zhuǎn)換的時(shí)刻。Qn+1RS功能Qn功能表

0101輸出狀態(tài)同S狀態(tài)1101

1010輸出狀態(tài)同S狀態(tài)0001111101××不定0000保持01011001011013.觸發(fā)器功能的幾種表示方法觸發(fā)器的功能除了可以用功能表表示外,還有幾種表示方法:

(1)特性方程由功能表畫出卡諾圖得特性方程:Qn+1RS功能Qn功能表

0101輸出狀態(tài)同S狀態(tài)1101

1010輸出狀態(tài)同S狀態(tài)0001111101××不定0000保持0101(約束條件)(2)狀態(tài)轉(zhuǎn)換圖

狀態(tài)轉(zhuǎn)換圖表示觸發(fā)器從一個(gè)狀態(tài)變化到另一個(gè)狀態(tài)或保持原狀不變時(shí),對(duì)輸入信號(hào)的要求。Qn+1RS功能Qn功能表

0101輸出狀態(tài)同S狀態(tài)1101

1010輸出狀態(tài)同S狀態(tài)0001111101××不定0000保持0101在數(shù)字電路中,凡根據(jù)輸入信號(hào)R、S情況的不同,具有置0、置1和保持功能的電路,都稱為RS觸發(fā)器。

(3)驅(qū)動(dòng)表

驅(qū)動(dòng)表是用表格的方式表示觸發(fā)器從一個(gè)狀態(tài)變化到另一個(gè)狀態(tài)或保持原狀態(tài)不變時(shí),對(duì)輸入信號(hào)的要求。Qn+1RS功能Qn功能表

0101輸出狀態(tài)同S狀態(tài)1101

1010輸出狀態(tài)同S狀態(tài)0001111101××不定0000保持010100011011Qn→Qn+1×001100×RS

RS觸發(fā)器的驅(qū)動(dòng)表

(4)波形圖

已知同步RS觸發(fā)器的輸入波形,畫出輸出波形圖。4、觸發(fā)器初始狀態(tài)的預(yù)置

在CP脈沖到來前,預(yù)先將觸發(fā)器置成某一狀態(tài)0或1,用Sd或Rd端,低電平有效,在CP=0時(shí)進(jìn)行。不用時(shí)兩個(gè)端懸空。5、觸發(fā)方式時(shí)鐘脈沖與觸發(fā)輸入信號(hào)和輸出狀態(tài)轉(zhuǎn)換的相互配合及對(duì)應(yīng)關(guān)系叫觸發(fā)器的觸發(fā)方式同步RS觸發(fā)器的觸發(fā)方式為高電平觸發(fā)6.同步觸發(fā)器存在的問題——空翻由于在CP=1期間,G3、G4門都是開著的,都能接收R、S信號(hào),所以,如果在CP=1期間R、S發(fā)生多次變化,則觸發(fā)器的狀態(tài)也可能發(fā)生多次翻轉(zhuǎn)。在一個(gè)時(shí)鐘脈沖周期中,觸發(fā)器發(fā)生多次翻轉(zhuǎn)的現(xiàn)象叫做空翻。

有效翻轉(zhuǎn)

空翻三、主從JK觸發(fā)器1.電路結(jié)構(gòu)

將觸發(fā)器的兩個(gè)互補(bǔ)的輸出端信號(hào)通過兩根反饋線分別引到輸入端的G7、G8門,這樣,就構(gòu)成了JK觸發(fā)器。三、主從JK觸發(fā)器2.工作原理(1)接收輸入信號(hào)的過程。C=1時(shí),主觸發(fā)器被打開,可以接收輸入信號(hào)J、K,其輸出狀態(tài)由輸入信號(hào)的狀態(tài)決定。由于C=0,從觸發(fā)器被封鎖,無論主觸發(fā)器的輸出狀態(tài)如何變化,對(duì)從觸發(fā)器均無影響,即觸發(fā)器的輸出狀態(tài)保持不變。2.工作原理(2)輸出信號(hào)的過程。當(dāng)C下降沿到來時(shí),即C由1變?yōu)?時(shí),主觸發(fā)器被封鎖,無論輸入信號(hào)如何變化,對(duì)主觸發(fā)器均無影響,即在C=1期間接收的內(nèi)容被存儲(chǔ)起來。同時(shí),由于C由0變?yōu)?,從觸發(fā)器被打開,可以接收由主觸發(fā)器送來的信號(hào),其輸出狀態(tài)由主觸發(fā)器的輸出狀態(tài)決定。在C=0期間,由于主觸發(fā)器保持狀態(tài)不變,Q的值當(dāng)然不可能改變。3.邏輯功能分析Qn+1JK功能QnJK觸發(fā)器功能表

0101輸出狀態(tài)同J狀態(tài)0001

1010輸出狀態(tài)同J狀態(tài)1101111101100000保持0101Qn+!=Qn1101011010101101104.JK觸發(fā)器邏輯功能的幾種表示方法(1)功能表:(2)特性方程:Qn+1JK功能QnJK觸發(fā)器功能表

0101輸出狀態(tài)同J狀態(tài)0001

1010輸出狀態(tài)同J狀態(tài)1101111101100000保持0101Qn+1=Qn(3)狀態(tài)轉(zhuǎn)換圖(4)驅(qū)動(dòng)表00011011Qn→Qn+10×1××1×0JK

JK觸發(fā)器的驅(qū)動(dòng)表

Qn+1JK功能QnJK觸發(fā)器功能表

0101輸出狀態(tài)同J狀態(tài)0001

1010輸出狀態(tài)同J狀態(tài)1101111101100000保持0101Qn=Qn例

已知主從JK觸發(fā)器J、K的波形如圖所示,畫出輸出Q的波形圖(設(shè)初始狀態(tài)為0)。在畫主從觸發(fā)器的波形圖時(shí),應(yīng)注意以下兩點(diǎn):(1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在時(shí)鐘脈沖的觸發(fā)沿(這里是下降沿)(2)判斷觸發(fā)器次態(tài)的依據(jù)是時(shí)鐘脈沖下降沿前一瞬間輸入端的狀態(tài)。四、D觸發(fā)器

1.D觸發(fā)器的邏輯功能

D觸發(fā)器只有一個(gè)觸發(fā)輸入端D,因此,邏輯關(guān)系非常簡單;D觸發(fā)器的特性方程為:Qn+1=D0011D0101Qn0011Qn+1輸出狀態(tài)同D狀態(tài)

功能D觸發(fā)器的功能表0011D0101Qn0011Qn+1輸出狀態(tài)同D狀態(tài)

功能D觸發(fā)器的功能表邏輯符號(hào)D觸發(fā)器的狀態(tài)轉(zhuǎn)換圖例

已知D觸發(fā)器的輸入波形,畫出輸出波形圖。解:在波形圖時(shí),應(yīng)注意以下兩點(diǎn):(1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在CP的上升沿。(2)判斷觸發(fā)器次態(tài)的依據(jù)是CP上升沿前一瞬間輸入端D的狀態(tài)。五、主從T觸發(fā)器和T’觸發(fā)器將JK觸發(fā)器的J和K相連作為T輸入端就構(gòu)成了T觸發(fā)器。T觸發(fā)器特性方程:00011011

T

Qn

0110

Qn+1功能

T觸發(fā)器的功能表

Qn+1=QnQn+1=Qn

當(dāng)T觸發(fā)器的輸入端為T=1時(shí),也可構(gòu)成T’觸發(fā)器。T’觸發(fā)器的特性方程:CPQT’觸發(fā)器六、觸發(fā)器應(yīng)用舉例

設(shè)計(jì)一個(gè)3人搶答電路。3人A、B、C各控制一個(gè)按鍵開關(guān)KA、KB、KC和一個(gè)發(fā)光二極管DA、DB、DC。誰先按下開關(guān),誰的發(fā)光二極管亮,同時(shí)使其他人的搶答信號(hào)無效。

利用觸發(fā)器的“記憶”作用,使搶答電路工作更可靠、穩(wěn)定。時(shí)序邏輯電路的基本概念時(shí)序邏輯電路的結(jié)構(gòu)及特點(diǎn)時(shí)序邏輯電路————任何一個(gè)時(shí)刻的輸出狀態(tài)不僅取決于當(dāng)時(shí)的輸入信號(hào),還與電路的原狀態(tài)有關(guān)。時(shí)序電路的特點(diǎn):(1)含有記憶元件(最常用的是觸發(fā)器)。(2)具有反饋通道。在數(shù)字電路中,用來存放二進(jìn)制數(shù)據(jù)或代碼的電路稱為寄存器。寄存器是由具有存儲(chǔ)功能的觸發(fā)器組合起來構(gòu)成的。一個(gè)觸發(fā)器可以存儲(chǔ)1位二進(jìn)制代碼,存放n位二進(jìn)制代碼的寄存器,需用n個(gè)觸發(fā)器來構(gòu)成。按照功能的不同,可將寄存器分為數(shù)碼寄存器和移位寄存器兩大類。數(shù)碼寄存器只能并行送入數(shù)據(jù),需要時(shí)也只能并行輸出。移位寄存器中的數(shù)據(jù)可以在移位脈沖作用下依次逐位右移或左移,數(shù)據(jù)既可以并行輸入、并行輸出,也可以串行輸入、串行輸出,還可以并行輸入、串行輸出,串行輸入、并行輸出,十分靈活,用途也很廣。6.2寄存器一、

數(shù)碼寄存器無論寄存器中原來的內(nèi)容是什么,只要送數(shù)控制時(shí)鐘脈沖CP上升沿到來,加在并行數(shù)據(jù)輸入端的數(shù)據(jù)D0~D3,就立即被送入進(jìn)寄存器中,即有:二、移位寄存器

移位寄存器——不但可以寄存數(shù)碼,而且在移位脈沖作用下,寄存器中的數(shù)碼可根據(jù)需要向左或向右移動(dòng)1位。1.單向移位寄存器

(1)右移寄存器(D觸發(fā)器組成的4位右移寄存器)右移寄存器的結(jié)構(gòu)特點(diǎn):左邊觸發(fā)器的輸出端接右鄰觸發(fā)器的輸入端。移位脈沖輸入數(shù)碼輸出CPDIQ0Q1Q2Q300000

設(shè)移位寄存器的初始狀態(tài)為0000,串行輸入數(shù)碼DI=1101,從高位到低位依次輸入。其狀態(tài)表如下:111000110012030110141011右移寄存器的時(shí)序圖:

在4個(gè)CP作用下,輸入的4位串行數(shù)碼1101全部存入了寄存器中。這種方式稱為串行輸入方式。移位脈沖輸入數(shù)碼輸出CPDIQ0Q1Q2Q301234110100001000110001101011(2)左移寄存器

2.雙向移位寄存器

將右移寄存器和左移寄存器組合起來,并引入一控制端S便構(gòu)成既可左移又可右移的雙向移位寄存器。左移寄存器的結(jié)構(gòu)特點(diǎn):右邊觸發(fā)器的輸出端接左鄰觸發(fā)器的輸入端。分析時(shí)序邏輯電路的一般步驟

1.由邏輯圖寫出下列各邏輯方程式:

(1)各觸發(fā)器的時(shí)鐘方程。(2)各觸發(fā)器的驅(qū)動(dòng)方程。(3)時(shí)序電路的輸出方程。

2.將驅(qū)動(dòng)方程代入相應(yīng)觸發(fā)器的特性方程,求得時(shí)序邏輯電路的狀態(tài)方程。

3.根據(jù)狀態(tài)方程和輸出方程,列出該時(shí)序電路的狀態(tài)表,畫出狀態(tài)圖或時(shí)序圖。

4.根據(jù)電路的狀態(tài)表或狀態(tài)圖說明給定時(shí)序邏輯電路的邏輯功能。時(shí)序邏輯電路的一般分析方法計(jì)數(shù)器——用以統(tǒng)計(jì)輸入脈沖CP個(gè)數(shù)的電路。

6.3計(jì)數(shù)器計(jì)數(shù)器的分類:(2)按數(shù)字的增減趨勢可分為加法計(jì)數(shù)器、減法計(jì)數(shù)器。(1)按計(jì)數(shù)進(jìn)制可分為二進(jìn)制計(jì)數(shù)器和非二進(jìn)制計(jì)數(shù)器。非二進(jìn)制計(jì)數(shù)器中最典型的是十進(jìn)制計(jì)數(shù)器。(3)按計(jì)數(shù)器中觸發(fā)器翻轉(zhuǎn)是否與計(jì)數(shù)脈沖同步分為同步計(jì)數(shù)器和異步計(jì)數(shù)器。

一、二進(jìn)制計(jì)數(shù)器1.二進(jìn)制異步計(jì)數(shù)器

(1)二進(jìn)制異步加法計(jì)數(shù)器(4位)每當(dāng)Q2由1變0,F(xiàn)F3向相反的狀態(tài)翻轉(zhuǎn)一次。工作原理:4個(gè)JK觸發(fā)器都接成T’觸發(fā)器。每來一個(gè)CP的下降沿時(shí),F(xiàn)F0向相反的狀態(tài)翻轉(zhuǎn)一次;每當(dāng)Q0由1變0,F(xiàn)F1向相反的狀態(tài)翻轉(zhuǎn)一次;每當(dāng)Q1由1變0,F(xiàn)F2向相反的狀態(tài)翻轉(zhuǎn)一次;由時(shí)序圖可以看出,Q0、Ql、Q2、Q3的周期分別是計(jì)數(shù)脈沖(CP)周期的2倍、4倍、8倍、16倍,因而計(jì)數(shù)器也可作為分頻器。43第5章時(shí)序邏輯電路

CT74LS161的主要功能:

(1)異步置

0

功能(CR低電平有效)

(2)同步置數(shù)功能(LD低電平有效)

(3)計(jì)數(shù)功能(LR=LD=CTT=CTP=1)

(4)保持功能(LR=LD=1,CTT

和CTP

中有0)CT74LS161的功能表

CO=CTT·Q3Q2Q1Q0

CO=Q3Q2Q1Q0

CO=CTT·Q3Q2Q1Q0

異步置00保持×××××0×11保持××××××011計(jì)數(shù)××××1111d0d1d2d3d0d1d2d3××0100000××××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR

說明

輸出輸入CT74LS161和CT74LS163CT74LS161CPQ0Q1Q2Q3COD0CT74LS161和CT74LS163邏輯功能示意圖CT74LS163CTTCTPCRLDD1D2D3CRLD計(jì)數(shù)狀態(tài)輸出端,從高位到低位依次為

Q3、Q2、Q1、Q0。進(jìn)位輸出端置數(shù)數(shù)據(jù)輸入端,為并行數(shù)據(jù)輸入。計(jì)數(shù)脈沖輸入端,上升沿觸發(fā)。計(jì)數(shù)控制端,高電平有效。

CR

為置0控制端,

低電平有效。

LD為同步置數(shù)控制端,低電平有效。4.集成同步二進(jìn)制計(jì)數(shù)器

CT74LS161和

CT74LS163第5章時(shí)序邏輯電路

(2)二進(jìn)制異步減法計(jì)數(shù)器用4個(gè)上升沿觸發(fā)的D觸發(fā)器組成的4位異步二進(jìn)制減法計(jì)數(shù)器。

工作原理:D觸發(fā)器也都接成T’觸發(fā)器。由于是上升沿觸發(fā),則應(yīng)將低位觸發(fā)器的Q端與相鄰高位觸發(fā)器的時(shí)鐘脈沖輸入端相連,即從Q端取借位信號(hào)。它也同樣具有分頻作用。二進(jìn)制異步減法計(jì)數(shù)器的時(shí)序波形圖和狀態(tài)圖。

在異步計(jì)數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須在相鄰觸發(fā)器產(chǎn)生進(jìn)位信號(hào)(加計(jì)數(shù))或借位信號(hào)(減計(jì)數(shù))之后才能實(shí)現(xiàn),所以工作速度較低。為了提高計(jì)數(shù)速度,可采用同步計(jì)數(shù)器。

二、非二進(jìn)制計(jì)數(shù)器N進(jìn)制計(jì)數(shù)器又稱模N計(jì)數(shù)器。當(dāng)N=2n時(shí),就是前面討論的n位二進(jìn)制計(jì)數(shù)器;當(dāng)N≠2n時(shí),為非二進(jìn)制計(jì)數(shù)器。非二進(jìn)制計(jì)數(shù)器中最常用的是十進(jìn)制計(jì)數(shù)器。1.8421BCD碼同步十進(jìn)制加法計(jì)數(shù)器用前面介紹的同步時(shí)序邏輯電路分析方法對(duì)該電路進(jìn)行分析。(1)寫出驅(qū)動(dòng)方程:(2)時(shí)鐘方程CP0=CP1=CP2=CP3=CP然后將各驅(qū)動(dòng)方程代入JK觸發(fā)器的特性方程,得各觸發(fā)器的狀態(tài)方程:(3)轉(zhuǎn)換成狀態(tài)方程:先寫出JK觸發(fā)器的特性方程(4)作狀態(tài)轉(zhuǎn)換表設(shè)初態(tài)為Q3Q2Q1Q0=0000,代入次態(tài)方程進(jìn)行計(jì)算,得狀態(tài)轉(zhuǎn)換表?,F(xiàn)態(tài)次態(tài)Q3n

Q2nQ1n

Q0n

Q3n+1

Q2n+1

Q1n+1

Q0n+1

00001000000100100011010001010110011110001001010011000010000010100110111000011001(5)作狀態(tài)圖和時(shí)序圖。(6)檢查電路能否自啟動(dòng)

用同樣的分析方法分別求出6種無效狀態(tài)下的次態(tài),得到完整的狀態(tài)轉(zhuǎn)換圖。由于電路中有4個(gè)觸發(fā)器,它們的狀態(tài)組合共有16種。而在8421BCD碼計(jì)數(shù)器中只用了10種,稱為有效狀態(tài)。其余6種狀態(tài)稱為無效狀態(tài)。當(dāng)由于某種原因,使計(jì)數(shù)器進(jìn)入無效狀態(tài)時(shí),如果能在時(shí)鐘信號(hào)作用下,最終進(jìn)入有效狀態(tài),我們就稱該電路具有自啟動(dòng)能力。可見,該計(jì)數(shù)器能夠自啟動(dòng)。2.8421BCD碼異步十進(jìn)制加法計(jì)數(shù)器CP2=Q1

(當(dāng)FF1的Q1由1→0時(shí),Q2才可能改變狀態(tài)。)用前面介紹的異步時(shí)序邏輯電路分析方法對(duì)該電路進(jìn)行分析:(1)寫出各邏輯方程式。

①時(shí)鐘方程:

CP0=CP

(時(shí)鐘脈沖源的下降沿觸發(fā)。)CP1=Q0

(當(dāng)FF0的Q0由1→0時(shí),Q1才可能改變狀態(tài)。)CP3=Q0

(當(dāng)FF0的Q0由1→0時(shí),Q3才可能改變狀態(tài))②各觸發(fā)器的驅(qū)動(dòng)方程:(2)將各驅(qū)動(dòng)方程代入JK觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:(CP由1→0時(shí)此式有效)(Q0由1→0時(shí)此式有效)

(Q1由1→0時(shí)此式有效)

(Q0由1→0時(shí)此式有效)

(3)作狀態(tài)轉(zhuǎn)換表。設(shè)初態(tài)為Q3Q2Q1Q0=0000,代入次態(tài)方程進(jìn)行計(jì)算,得狀態(tài)轉(zhuǎn)換表。(CP由1→0時(shí))(Q0由1→0時(shí))

(Q1由1→0時(shí))

(Q0由1→0時(shí))

現(xiàn)態(tài)次態(tài)時(shí)鐘脈沖Q3n

Q2nQ1n

Q0Q3n+1

Q2n+1

Q1n+1

Q0n+1

CP3CP2CP1CP000000001001000110100010101100111100010011000010011000010000010100110111000011001↓000↓↓0↓↓000↓↓↓↓↓↓0↓↓000↓↓0↓↓000↓↓↓↓↓0011.CT74LS160和

CT74LS162CT74LS160CPQ0Q1Q2Q3COD0CT74LS162CTTCTPCRLDD1D2D3CRLD

集成同步十進(jìn)制計(jì)數(shù)器

CT74LS160和

CT74LS162正如“161”與“163”一樣,“160”與“162”的差別是:“160”為異步置

0,“162”為同步置

0

;“160”與“162”的管腳以及其他功能完全相同。第5章時(shí)序邏輯電路

CO=CTT·Q3Q0

CO=Q3Q0

CO=CTT·Q3Q0

異步置00保持×××××0×11保持××××××011計(jì)數(shù)××××1111d0d1d2d3d0d1d2d3××0100000××××××××0COQ0Q1Q2Q3D0D1D2D3CPCTTCTPLDCR輸出輸入

CO=CTT·Q3Q0

CO=Q3Q0

CO=CTT·Q3Q0

同步置

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論