數(shù)字電子鐘畢業(yè)設(shè)計(jì)樣本_第1頁(yè)
數(shù)字電子鐘畢業(yè)設(shè)計(jì)樣本_第2頁(yè)
數(shù)字電子鐘畢業(yè)設(shè)計(jì)樣本_第3頁(yè)
數(shù)字電子鐘畢業(yè)設(shè)計(jì)樣本_第4頁(yè)
數(shù)字電子鐘畢業(yè)設(shè)計(jì)樣本_第5頁(yè)
已閱讀5頁(yè),還剩17頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

婁底職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)題目多功能數(shù)字鐘設(shè)計(jì)與制作 學(xué)生姓名學(xué)號(hào)專業(yè)應(yīng)用電子技術(shù)班級(jí)06級(jí)電子大一班指引教師教師完畢日期 12月 30日湖南省婁底職業(yè)技術(shù)學(xué)院教務(wù)處制目錄【摘要】 3前言 31.數(shù)字鐘構(gòu)成和基本工作原理 41.1振蕩器 51.2分頻器電路 51.3計(jì)數(shù)器 51.4譯碼顯示電路 51.5校時(shí)電路 51.6報(bào)時(shí)電路 52.設(shè)計(jì)環(huán)節(jié)與辦法 62.1振蕩電路 62.2分頻器電路 62.3計(jì)數(shù)器 72.3.1計(jì)數(shù)器六十進(jìn)制接法 82.3.2二十四進(jìn)制計(jì)數(shù)器接法 82.4譯碼顯示電路 102.5校時(shí)電路 112.6整點(diǎn)報(bào)時(shí)電路 122.6.1控制門電路某些 122.6.2音響電路某些 133.組裝與調(diào)試 153.1接通電源逐漸調(diào)試 153.2按順序?qū)﹄娐愤B線和調(diào)試 154.結(jié)束語 155.附錄 166.參照文獻(xiàn) 17多功能數(shù)字鐘設(shè)計(jì)與制作學(xué)生:指引教師:婁底職業(yè)技術(shù)學(xué)院【摘要】:多功能數(shù)字鐘采用數(shù)字電路實(shí)現(xiàn)對(duì)“時(shí)”、“分”、“秒”數(shù)字顯示計(jì)時(shí)裝置。具備時(shí)間顯示、鬧鐘設(shè)立、報(bào)時(shí)功能、校正作用。走時(shí)精確、顯示直觀、精度、穩(wěn)定等長(zhǎng)處。電路裝置十分小巧,安裝使用也以便。同步在日期中,它以其小巧,價(jià)格低廉,走時(shí)精度高,使用以便,功能多,便于集成化而受廣大消費(fèi)愛慕。核心字:晶體振蕩器、分頻器、計(jì)數(shù)器、顯示屏和校時(shí)電路前言20世紀(jì)末,電子技術(shù)獲得了飛速發(fā)展,在其推動(dòng)下,當(dāng)代電子產(chǎn)品幾乎滲入了社會(huì)各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力發(fā)展和社會(huì)信息化限度提高,同步也使當(dāng)代電子產(chǎn)品性能進(jìn)一步提高,產(chǎn)品更新?lián)Q代節(jié)奏也越來越快。數(shù)字鐘已成為人們尋常生活中:必不可少必須品,廣泛用于個(gè)人家庭以及車站、碼頭、劇場(chǎng)、辦公室等公共場(chǎng)合,給人們生活、學(xué)習(xí)、工作、娛樂帶來極大以便。由于數(shù)字集成電路技術(shù)發(fā)展和采用了先進(jìn)石英技術(shù),使數(shù)字鐘具備走時(shí)精確、性能穩(wěn)定、集成電路有體積小、功耗小、功能多、攜帶以便等長(zhǎng)處,,因而在許多電子設(shè)備中被廣泛使用。電子鐘是人們尋常生活中慣用計(jì)時(shí)工具,而數(shù)字式電子鐘又有其體積小、重量輕、走時(shí)精確、構(gòu)造簡(jiǎn)樸、耗電量少等長(zhǎng)處而在生活中被廣泛應(yīng)用,因而本次設(shè)計(jì)就用數(shù)字集成電路和某些簡(jiǎn)樸邏輯門電路來設(shè)計(jì)一種數(shù)字式電子鐘,使其完畢時(shí)間及星期顯示功能。本次設(shè)計(jì)以數(shù)字電子為主,分別對(duì)1S時(shí)鐘信號(hào)源、秒計(jì)時(shí)顯示、分計(jì)時(shí)顯示、小時(shí)計(jì)時(shí)顯示、整點(diǎn)報(bào)時(shí)及校時(shí)電路進(jìn)行設(shè)計(jì),然后將它們組合,來完畢時(shí)、分、秒顯示并且有整點(diǎn)報(bào)時(shí)和走時(shí)校準(zhǔn)功能。并通過本次設(shè)計(jì)加深對(duì)數(shù)字電子技術(shù)理解以及更純熟使用計(jì)數(shù)器、觸發(fā)器和各種邏輯門電路能力。電路重要使用集成計(jì)數(shù)器,例如CD4060、CD4518,譯碼集成電路,例如CD4511,LED數(shù)碼管及各種門電路和基本觸發(fā)器等,電路使用5號(hào)電池共電,很適合在尋常生活中使用。本次畢業(yè)設(shè)計(jì)得到婁底職業(yè)技術(shù)學(xué)院電子信息工程系鐘新躍教師大力支持,她提出了許多意見和建議,在此表達(dá)衷心感謝。由于本人能力有限,在設(shè)計(jì)中難免會(huì)浮現(xiàn)錯(cuò)誤與局限性,但愿各位教師及讀者予以批評(píng)并提出寶貴意見。1.數(shù)字鐘構(gòu)成和基本工作原理數(shù)字鐘事實(shí)上是一種對(duì)原則頻率進(jìn)行計(jì)數(shù)計(jì)數(shù)電路。它計(jì)時(shí)周期是24小時(shí),由于計(jì)數(shù)器起始時(shí)間不也許與原則時(shí)間(如北京時(shí)間)一致因此采用校準(zhǔn)功能和報(bào)時(shí)功能。數(shù)字鐘電路重要由譯碼顯示屏、校準(zhǔn)電路、報(bào)時(shí)電路、時(shí)計(jì)數(shù)、分計(jì)數(shù)、秒計(jì)數(shù)器,振蕩電路和單次脈沖產(chǎn)生電路構(gòu)成。其中電路系統(tǒng)由秒信號(hào)發(fā)生器、“時(shí)”、“分”、“秒”計(jì)數(shù)器、譯碼器及顯示屏、校準(zhǔn)電路、整點(diǎn)報(bào)時(shí)電路構(gòu)成。秒信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)精度,普通用石英晶體振蕩器加分頻器來實(shí)現(xiàn),將原則秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每合計(jì)60秒發(fā)出一種“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”時(shí)鐘脈沖?!胺钟?jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每合計(jì)60分鐘,發(fā)出一種時(shí)脈沖信號(hào),該信號(hào)將被送屆時(shí)計(jì)數(shù)器。時(shí)計(jì)數(shù)器采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)計(jì)時(shí)。譯碼顯示電路將“時(shí)”、“分”、“秒”計(jì)數(shù)器輸出狀態(tài)通過顯示驅(qū)動(dòng)電路,七段顯示譯碼器譯碼,在通過六位LED七段顯示屏顯示出來。整點(diǎn)報(bào)時(shí)電路時(shí)依照計(jì)時(shí)系統(tǒng)輸出狀態(tài)產(chǎn)生一種脈沖信號(hào),然后去觸發(fā)一音頻發(fā)生器實(shí)現(xiàn)低、高音報(bào)時(shí)。校準(zhǔn)電路時(shí)用來對(duì)“時(shí)”、“分”、“秒”顯示數(shù)字進(jìn)行校對(duì)調(diào)節(jié)。如圖1所示多功能數(shù)字鐘構(gòu)成框圖。譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)時(shí)十位計(jì)數(shù)分頻器電路分頻器電路振蕩器電路譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)時(shí)十位計(jì)數(shù)分頻器電路分頻器電路振蕩器電路譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)時(shí)個(gè)位計(jì)數(shù)分十位計(jì)數(shù)分十位計(jì)數(shù) 秒十位計(jì)數(shù)秒十位計(jì)數(shù)校時(shí)電路校分電路1.1振蕩器振蕩器是數(shù)字鐘核心,其作用是產(chǎn)生一種頻率原則時(shí)間頻率信號(hào),然后再由分頻器分秒脈沖,因而,振蕩器頻率精度與穩(wěn)定度基本決定了數(shù)字電子鐘質(zhì)量。振蕩器穩(wěn)定度及頻率精準(zhǔn)度決定了數(shù)字鐘計(jì)時(shí)精確限度,普通選用石英晶體構(gòu)成振蕩器電路。普通來說,振蕩器頻率越高,計(jì)時(shí)精度越高。采用石英晶體振蕩器通過度頻得到這一種頻率穩(wěn)定精確32768Hz方波信號(hào)。保證數(shù)字鐘走時(shí)精確及穩(wěn)定。1.2分頻器電路分頻器電路將32768Hz高頻方波信號(hào)經(jīng)32768(215)次分頻后得到1Hz方波信號(hào)供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)。分頻器事實(shí)上也就是計(jì)數(shù)器。本次設(shè)計(jì)是運(yùn)用了CD4060分頻器進(jìn)行分頻,分頻電路可提供512HZ和1024HZ頻率,在經(jīng)CD4040分頻器進(jìn)行一分頻,為此電路輸送一秒脈沖。1.3計(jì)數(shù)器時(shí)間計(jì)數(shù)電路由秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器及時(shí)個(gè)位和時(shí)十位計(jì)數(shù)器電路構(gòu)成,其中秒個(gè)位和秒十位計(jì)數(shù)器、分個(gè)位和分十位計(jì)數(shù)器為60進(jìn)制計(jì)數(shù)器,通慣用2個(gè)十進(jìn)位計(jì)數(shù)器集成片構(gòu)成,其中”秒”個(gè)位是十進(jìn)制,秒十位為六進(jìn)制。可采用反饋歸零變”秒”十位為六進(jìn)制,實(shí)現(xiàn)秒六十進(jìn)制。”分”計(jì)數(shù)器原理也同樣。而依照設(shè)計(jì)規(guī)定。1.4譯碼顯示電路是將數(shù)字鐘計(jì)時(shí)狀態(tài)直觀清晰地反映出來,被人們視覺器官所接受。顯示屏件選用LED七段數(shù)碼管。在譯碼顯示電路輸出信號(hào)驅(qū)動(dòng)下,顯示出清晰、直觀數(shù)字符號(hào).并且為保證數(shù)碼管正常工作提供足夠工作電流。1.5校時(shí)電路實(shí)際數(shù)字鐘電路由于秒信號(hào)精準(zhǔn)性和穩(wěn)定性不也許做到完全(絕對(duì))精確無誤,加之電路中其他因素,數(shù)字鐘總會(huì)產(chǎn)生走時(shí)誤差現(xiàn)象。因而,電路中就應(yīng)當(dāng)有校準(zhǔn)時(shí)間功能電路。1.6報(bào)時(shí)電路當(dāng)數(shù)字鐘顯示整點(diǎn)時(shí),應(yīng)能報(bào)時(shí)。規(guī)定當(dāng)數(shù)字鐘“分”和“秒”計(jì)數(shù)器計(jì)到59分50秒時(shí),驅(qū)動(dòng)音響電路,規(guī)定每隔一秒音響電路嗚叫一次,每次叫聲時(shí)間持續(xù)1秒,10秒鐘內(nèi)自動(dòng)發(fā)出五聲嗚叫,且前四聲低,最后一聲高,正好報(bào)整點(diǎn)。2.設(shè)計(jì)環(huán)節(jié)與辦法2.1振蕩電路晶體振蕩器是構(gòu)成數(shù)字式時(shí)鐘核心,它保證了時(shí)鐘走時(shí)精確及穩(wěn)定。如圖2所示電路通過非門構(gòu)成輸出為方波數(shù)字式晶體振蕩電路,這個(gè)電路中,非門U1與晶體、電容和電阻構(gòu)成晶體振蕩器電路,U2實(shí)現(xiàn)整形功能,將振蕩器輸出近似于正弦波波形轉(zhuǎn)換為較抱負(fù)方波。輸出反饋電阻R1為非門提供偏置,使電路工作于放大區(qū)域,即非門功能近似于一種高增益反相放大器。電容C1、C2與晶體構(gòu)成一種諧振型網(wǎng)絡(luò),完畢對(duì)振蕩頻率控制功能,同步提供了一種180度相移,從而和非門構(gòu)成一種正反饋網(wǎng)絡(luò),實(shí)現(xiàn)了振蕩器功能。由于晶體具備較高頻率穩(wěn)定性及精確性,從而保證了輸出頻率穩(wěn)定和精確。晶體XTAL1頻率選為32768Hz。其中C1值取5~20pF,C2為30pF。C1作為校正電容可以對(duì)溫度進(jìn)行補(bǔ)償,以提高頻率精確度和穩(wěn)定度。由于電路輸入阻抗極高,因而反饋電阻R1可選為10MΩ。較高反饋電阻有助于提高振蕩頻率穩(wěn)定性。圖2振蕩電路圖2.2分頻器電路由數(shù)字鐘晶體振蕩器輸出頻率較高,為了得到1Hz秒信號(hào)輸入,需要對(duì)振蕩器輸出信號(hào)進(jìn)行分頻。實(shí)現(xiàn)分頻器電路是計(jì)數(shù)器電路,普通采用多級(jí)2進(jìn)制計(jì)數(shù)器來實(shí)現(xiàn)。例如,將32767Hz振蕩信號(hào)分頻為1Hz分頻倍數(shù)為32767(215),即實(shí)現(xiàn)該分頻功能計(jì)數(shù)器相稱于15極2進(jìn)制計(jì)數(shù)器。本實(shí)驗(yàn)中采用CD4060來構(gòu)成分頻電路。CD4060在數(shù)字集成電路中可實(shí)現(xiàn)分頻次數(shù)最高,并且CD4060還包括振蕩電路所需非門,使用更為以便。CD4060計(jì)數(shù)為最高為14級(jí)2進(jìn)制計(jì)數(shù)器,一方面由U1(CD4060)Q14(第3腳)產(chǎn)生2Hz振蕩信號(hào),然后由二進(jìn)制計(jì)數(shù)器CD4040和兩個(gè)U3A(74LS20),U3B(74LS20)構(gòu)成120計(jì)數(shù)器分頻,從U3B輸出端輸出一種分脈沖,作為分鐘計(jì)數(shù)器分鐘信號(hào),按鍵開關(guān)S作為分鐘調(diào)時(shí)有手動(dòng)脈沖開關(guān),每按動(dòng)一次,從U3B輸出端輸出一種脈沖,同步U2Q1管腳輸出秒脈沖信號(hào)驅(qū)動(dòng)發(fā)光二極管LED1,LED2,作為秒批示(由于2Hz信號(hào)經(jīng)1位二進(jìn)制計(jì)數(shù)器分頻后為1Hz)。如圖3所示。圖3分頻電路2.3計(jì)數(shù)器秒脈沖信號(hào)通過級(jí)計(jì)數(shù)器,分別得到“秒”個(gè)位、十位,“分”個(gè)位、十位以及“時(shí)”個(gè)位、十位計(jì)時(shí)。“秒”、“分”計(jì)數(shù)器為60秒為1分、60分為1小時(shí)、24小時(shí)為1天計(jì)數(shù)周期,分別構(gòu)成兩個(gè)六十進(jìn)制(秒、分)、一種二十四進(jìn)制(時(shí))計(jì)數(shù)器。將這些計(jì)數(shù)器恰本地連接,就可以構(gòu)成秒、分、時(shí)計(jì)數(shù),實(shí)現(xiàn)計(jì)時(shí)功能進(jìn)制計(jì)數(shù)器。它們都可以用兩個(gè)“二-十進(jìn)制”計(jì)數(shù)器來實(shí)現(xiàn)。六十進(jìn)制計(jì)數(shù)器和二十四進(jìn)制計(jì)數(shù)器均可由雙BCD加法計(jì)數(shù)器CC4518構(gòu)成。由于一片CC4518內(nèi)具有兩個(gè)十進(jìn)制計(jì)數(shù)器,因而用一片CC4518就可以構(gòu)成六十進(jìn)制或二十四進(jìn)制計(jì)數(shù)器了。選用CC4518和與非門CC4511、采用反饋復(fù)位法構(gòu)成六十進(jìn)制和二十四進(jìn)制加法計(jì)數(shù)器電路分別見圖4(a)和圖4(b)所示。圖4(a)計(jì)數(shù)器六十進(jìn)制2.3.1計(jì)數(shù)器六十進(jìn)制接法圖4(a)個(gè)位為十進(jìn)制.故EN=1,Cr=0,計(jì)數(shù)到9后來自動(dòng)清零,向高位進(jìn)位信號(hào)采用Q4Q3Q2Q1=1001,將Q4,Q1送入與非門,與非門輸出可以做進(jìn)位信號(hào)。由于:當(dāng)Q4,Q1不同步為1,Y為1當(dāng)Q4,Q1同步為1時(shí),Y為0,同步計(jì)數(shù)器到9后自動(dòng)清零,這時(shí)Y又變?yōu)?,即浮現(xiàn)了一種上升沿。十位接成六進(jìn)制,運(yùn)用Q4Q3Q2Q1=0110信號(hào)清零,同步結(jié)合高位進(jìn)位。2.3.2二十四進(jìn)制計(jì)數(shù)器接法個(gè)位為進(jìn)制計(jì)數(shù)器,當(dāng)計(jì)數(shù)器計(jì)數(shù)到24時(shí),即十位為0010,個(gè)位為0100時(shí),同步清零,達(dá)到了二十四進(jìn)制計(jì)數(shù)器目,即高位Q2,底位Q3送入與非門做清零信號(hào),如圖4(b)二十四進(jìn)制計(jì)數(shù)器。4(b)二十四進(jìn)制計(jì)數(shù)器在這兩個(gè)電路中,計(jì)數(shù)器控制脈沖由CP端輸入,1EN接高電平;計(jì)數(shù)器控制脈沖由EN端輸入,狀態(tài)如圖5看出:當(dāng)“計(jì)數(shù)器狀態(tài)由1001向0000轉(zhuǎn)換時(shí),1Q4(2EN)正好是一種下降沿,高位計(jì)數(shù)器開始計(jì)數(shù)。在圖4(a)中,將2Q3和2Q2相與后接至CR端,構(gòu)成了六十進(jìn)制計(jì)數(shù)器,在圖4(b)中,將2Q2和1Q3相與后接至CR端構(gòu)成了二十四進(jìn)制計(jì)數(shù)器。為了保證電路能可靠地工作,在“秒”、“分”、“時(shí)”計(jì)數(shù)器反饋復(fù)位支路中,加了一種RS觸發(fā)器,如圖7所示。圖5計(jì)數(shù)器狀態(tài)圖6“秒”進(jìn)位電路各功能模塊中用到門電路可以采用4011(四2輸入與非門)來實(shí)現(xiàn),其外部引線排列見圖7所示。將與非門構(gòu)成RS觸發(fā)器輸出接至計(jì)數(shù)器復(fù)位端,展寬了復(fù)位和進(jìn)位信號(hào)脈沖寬度,使其在本位可靠地復(fù)位同步向高位提供了進(jìn)位觸發(fā)圖7CC4011引線排列2.4譯碼顯示電路數(shù)碼管是數(shù)碼顯示屏俗稱。慣用數(shù)碼顯示屏有半導(dǎo)體數(shù)碼管,熒光數(shù)碼管,輝光數(shù)碼管和液晶顯示屏等。譯碼和數(shù)碼顯示電路是將數(shù)字鐘和計(jì)時(shí)狀態(tài)直觀清晰地反映出來,被人們視覺器官所接受。顯示屏件選用LED七段數(shù)碼管。在譯碼顯示電路輸出驅(qū)動(dòng)下,顯示出清晰、直觀數(shù)字符號(hào)。本設(shè)計(jì)所選用是半導(dǎo)體數(shù)碼管,是用發(fā)光二極管(簡(jiǎn)稱LED)構(gòu)成字形來顯示數(shù)字,七個(gè)條形發(fā)光二極管排列成七段組合字形,便構(gòu)成了半導(dǎo)體數(shù)碼管。半導(dǎo)體數(shù)碼管有共陽極和共陰極兩種類型。共陽極數(shù)碼管七個(gè)發(fā)光二極管陽極接在一起,而七個(gè)陰極則是獨(dú)立。共陰極數(shù)碼管與共陽極數(shù)碼管相反,七個(gè)發(fā)光二極管陰極接在一起,而陽極是獨(dú)立。當(dāng)共陽極數(shù)碼管某一陰極接低電平時(shí),相應(yīng)二極管發(fā)光,可依照字形使某幾段二極管發(fā)光,因此共陽極數(shù)碼管需要輸出低電平有效譯碼器去驅(qū)動(dòng)。共陰極數(shù)碼管則需輸出高電平有效譯碼器去驅(qū)動(dòng)。當(dāng)數(shù)字鐘計(jì)數(shù)器在CP脈沖韻作用下,按60秒為1分、60分為1小時(shí),‘24小時(shí)為1天計(jì)數(shù)規(guī)律計(jì)數(shù)時(shí),就應(yīng)將其狀態(tài)顯示成清晰數(shù)字符號(hào)。這就需要將計(jì)數(shù)器狀態(tài)進(jìn)行譯碼并將其顯示出來。咱們選用計(jì)數(shù)器所有是二-十進(jìn)制集成片,“秒”、“分”、“時(shí)”個(gè)位和十位狀態(tài)分別由集成片中四個(gè)觸發(fā)器輸出狀態(tài)來反映。每組(四個(gè)).輸出計(jì)數(shù)狀態(tài)都按BCD代碼以高低電平來體現(xiàn)。因而,譯碼顯示電路選用BCD-7段鎖存譯碼/驅(qū)動(dòng)器CC4511。七段顯示數(shù)碼管外部引線排列見圖8a)、(b)?,F(xiàn)以60進(jìn)制“秒”計(jì)時(shí)電路為例,將計(jì)數(shù)器、譯碼顯示屏和顯示數(shù)碼管連在一起,其電路示意圖見圖9圖8(a)譯碼器外引線排列圖8(b)二極管示意圖圖9譯碼顯示屏和顯示數(shù)碼管2.5校時(shí)電路實(shí)際數(shù)字鐘表電路由于秒信號(hào)精準(zhǔn)性不也許做到完全(絕對(duì))精確無誤,加之電路中其他因素,數(shù)字鐘總會(huì)產(chǎn)生走時(shí)誤差現(xiàn)象。因而,電路中就應(yīng)當(dāng)有校準(zhǔn)時(shí)間功能電路。校準(zhǔn)辦法諸多,慣用有“迅速校時(shí)法”。當(dāng)前以“分計(jì)時(shí)器”校時(shí)電路為例,簡(jiǎn)要闡明它校時(shí)原理,見圖10,與非門1,2構(gòu)成雙穩(wěn)態(tài)觸發(fā)器,可以將1Hz“秒”信號(hào)和“秒計(jì)數(shù)器進(jìn)位信號(hào)”送至“分計(jì)數(shù)器CP端”。兩個(gè)信號(hào)中究竟選哪個(gè)送入由開關(guān)K控制,它工作過程是這樣:當(dāng)開關(guān)K置“B”端時(shí),與非門1輸出低電平,門2輸出高電平?!懊胗?jì)數(shù)器進(jìn)位信號(hào)”通過門4和門5送至“分計(jì)數(shù)器CP端”,使“分計(jì)數(shù)器”正常工作;需要校正“分計(jì)時(shí)器”時(shí),將開關(guān)K置“A”端,與非門1輸出高電平,門2輸出低電平,門4封鎖“秒計(jì)數(shù)器進(jìn)位信號(hào)”,而門3將1HzCP信號(hào)通過門3和門5送至“分計(jì)時(shí)器”CP控制端,使“分計(jì)數(shù)器”在“秒”信號(hào)控制下“迅速”計(jì)數(shù),直至對(duì)的時(shí)間,再將開關(guān)置于“B”端,以達(dá)到校準(zhǔn)時(shí)間目圖10校時(shí)電路圖11整點(diǎn)報(bào)時(shí)電路圖2.6整點(diǎn)報(bào)時(shí)電路數(shù)字鐘整點(diǎn)報(bào)時(shí)是最基本功能之一。當(dāng)前設(shè)計(jì)電路規(guī)定在離電路應(yīng)在整點(diǎn)前10秒鐘內(nèi)開始整點(diǎn)報(bào)時(shí),即當(dāng)時(shí)間在59分51秒到59分59秒期間時(shí),報(bào)時(shí)電路報(bào)時(shí)控制信號(hào)每隔1秒鐘鳴叫一次,每次持續(xù)時(shí)間為1秒,共響5次,前四次為低音500Hz,最后一聲為高音1000Hz。整點(diǎn)報(bào)時(shí)電路電路原理圖如圖12所示。2.6.1控制門電路某些圖11中與非門1,3,5輸入信號(hào)Q4,Q3,Q2,Q1分別表達(dá)“分十位”“分個(gè)位”“秒十位”和“秒個(gè)位”狀態(tài),下標(biāo)中D,C,B,A分別表達(dá)構(gòu)成計(jì)數(shù)器四個(gè)觸發(fā)器狀態(tài)。Y1=QC4.QA4.QD3.QA3,Y2=Y1.QC2.QA2.Y3=Y2.QD1.F1(1KHz),Y4=Y2.QD1.QA.F2(500Hz).每當(dāng)’分’和’秒’計(jì)數(shù)到59分50秒時(shí),QD4.QC4.QB4.QD4.=0101,QD3,QC3,QB3,QD3=1001,QD2,QC2,QB2,QA2=0101,QD1,QC1,QB1,QA1=0000可見,從59分50秒到59分59秒之間,只有秒個(gè)位計(jì)數(shù),而’分’有十位,’分’個(gè)位,秒十位中QC4=QA4=QD3=QA3=QC2=QA2=1不變.將它們相”與”,即圖11中Y2=QC4.QA4.QD3.QA3.QC2.QA2作為控制信號(hào), 去控制Y3和Y4.在每小最最后10秒Y2=1,Y3 輸入端加有頻率為2048Hz信號(hào)B(可取自分頻器CDQ4端),同步又受QD1,QA1控制,即C就是在59S時(shí),QD1QA1C=1,將Y4關(guān)閉,Y3打開,B信號(hào)通過Y3.Y4輸入端加有頻率為1024Hz信號(hào)A,同步又受QD1,QA1控制,即在51,53,55,57s時(shí),C.QD1.QA1=1,將Y3關(guān)閉Y4打開,A信號(hào)通過Y4,則Z=CQD1QA1B+C.QD1,QA1A,即可實(shí)現(xiàn)前四響為1024Hz底音,后一響為2048Hz高音,最后一響完畢正好整點(diǎn)。QD1QC2QB3QA1D1QA100000000110010000111010000101101100011112.6.2音響電路某些音響電路中采用射極輸出器,推動(dòng)8Ω喇叭,三極管基極串接lkΩ限流電阻,是為了防止電流過大損壞喇叭,集電極串接51Ω限流電阻,.三極管選用高頻小功率管即可。當(dāng)Y5端為高電平時(shí),三極管T導(dǎo)通,有電流流經(jīng)喇叭,使之發(fā)出鳴叫聲。通過以上分析可知,當(dāng)計(jì)時(shí)至59分51、53、55、57秒時(shí),頻率為500Hz信號(hào)通過喇叭,當(dāng)計(jì)時(shí)至59分59秒時(shí),頻率為1000Hz信號(hào)通過喇叭,因而發(fā)出四低一高聲音,音響結(jié)束正好為59分60秒。

圖12數(shù)字鐘布線圖3.組裝與調(diào)試在實(shí)驗(yàn)板上組裝組裝電子時(shí)鐘時(shí),應(yīng)嚴(yán)格按圖連接引腳,注意走線整潔,布局合理,器件懸空端,清0端,置1端要對(duì)的解決。插拔集成芯片時(shí)要用力均勻,避勉芯片管腳在插拔過程中變彎,折斷。3.1接通電源逐漸調(diào)試如果浮現(xiàn)錯(cuò)誤,可先檢查各芯片電源線與否接上,并保證有正常工作電壓。按圖9電路在數(shù)字電路實(shí)驗(yàn)箱上連線。它是由十進(jìn)制加法計(jì)數(shù)器CC4518、BCD-7段鎖存譯碼/驅(qū)動(dòng)器CC4511和LED七段數(shù)碼管構(gòu)成。觀測(cè)在CP作用下數(shù)碼管顯示狀況。需要注意是,CC4511正常工作時(shí),為高電平,LE應(yīng)為低電平。按圖4電路在實(shí)驗(yàn)箱上連線。由于CC4518內(nèi)具有兩個(gè)同步十進(jìn)制計(jì)數(shù)器,CC4011內(nèi)具有四個(gè)2輸入與非門,因而分別用一片CC4518和CC4011就夠了。按圖4(a)電路連線,輸出可接發(fā)光二極管。觀測(cè)在CP作用下(CP為1Hz可直接由實(shí)驗(yàn)箱持續(xù)脈沖輸出端提供)輸出端發(fā)光二極管狀態(tài)變化狀況,驗(yàn)證與否為六十進(jìn)制計(jì)數(shù)器。按圖4(b)電路連線驗(yàn)證該電路與否為二十四進(jìn)制計(jì)數(shù)器。另一方面安裝是晶體振蕩電路電路。按圖2電路連線,輸出接發(fā)光二極管,觀測(cè)發(fā)光二極管顯示狀況。3.2按順序?qū)﹄娐愤B線和調(diào)試按圖10所示在數(shù)字電路實(shí)驗(yàn)箱上對(duì)校時(shí)電路連線。將電路輸出(門5)接發(fā)光二極管。撥動(dòng)開關(guān),觀測(cè)在CP(1Hz)作用下,輸出端發(fā)光二極管顯示狀況。依照開關(guān)不同狀態(tài),輸出端輸出頻率之比約為1:60,“開關(guān)’’可以取自實(shí)驗(yàn)箱上邏輯電平開關(guān)。參照?qǐng)D11,對(duì)整點(diǎn)報(bào)時(shí)電路安裝由于報(bào)時(shí)電路發(fā)出聲響時(shí)間是59分51秒至59分60秒之間,59分狀態(tài)是不變。圖12中Y2=1不變。測(cè)試時(shí),lkHzCP信號(hào)可由實(shí)驗(yàn)箱上獲得,500HzCP信號(hào)可將lkHz信號(hào)經(jīng)D觸發(fā)器二分頻得到。QAlQDl端可接至十進(jìn)制計(jì)數(shù)器相應(yīng)輸出端。觀測(cè)計(jì)數(shù)器在CP信號(hào)作用下,喇叭發(fā)出聲響狀況。將時(shí)間調(diào)節(jié)到59分50秒,觀測(cè)報(bào)時(shí)電路能否精確報(bào)時(shí)。如果不正常,則需檢查相應(yīng)CC4518芯片。4.結(jié)束語數(shù)字鐘設(shè)計(jì)涉及到模仿電子與數(shù)字電子技術(shù)。其中絕大某些是數(shù)字某些、邏輯門電路、數(shù)字邏輯表達(dá)式、計(jì)算真值表與邏輯函數(shù)間關(guān)系、編碼器、譯碼器顯示等基本原理。數(shù)字鐘是典型時(shí)序邏輯電路,包括了計(jì)數(shù)器,二進(jìn)制數(shù),六進(jìn)制數(shù),六十進(jìn)制,二十四進(jìn)制,十進(jìn)制數(shù)概念。數(shù)字鐘設(shè)計(jì)與制作可以進(jìn)一步加深對(duì)數(shù)字電路理解,通過本次電子電路設(shè)計(jì),為數(shù)字電路制作提供思路。我學(xué)到了諸多東西,最重要是去做好一種事情心態(tài),也許在你拿到題目時(shí)會(huì)覺得困難,但是只要你布滿信心,一步一種腳印去實(shí)現(xiàn)它,就必定會(huì)完畢。有時(shí)候畫線和其他線重要合時(shí)會(huì)看不到,有時(shí)又會(huì)明明連是這個(gè)端點(diǎn),一移動(dòng)時(shí)卻連到任外一種端點(diǎn)了,再加上電路有這樣大了,顯示屏界面寬度又有限,因此做起來有點(diǎn)麻煩,一但搞不好就要重新來,這個(gè)時(shí)候就要你有足夠耐心了。從這次設(shè)計(jì)中我覺得我學(xué)到了如下東西:對(duì)于數(shù)字邏輯某些基本知識(shí)有了更深理解,理解諸多集成門電路芯片使用,增強(qiáng)了面對(duì)困難敢于面對(duì),敢于解決信心。以往每做一次課程設(shè)計(jì),感覺自己收獲總會(huì)不少,這次也不例外。做課程設(shè)計(jì)是為了讓咱們對(duì)平時(shí)學(xué)習(xí)理論知識(shí)與實(shí)際操作相結(jié)合,在理論和實(shí)驗(yàn)教學(xué)基本上進(jìn)一

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論