畢業(yè)設(shè)計-數(shù)字基帶傳輸系統(tǒng)性能的研究及仿真_第1頁
畢業(yè)設(shè)計-數(shù)字基帶傳輸系統(tǒng)性能的研究及仿真_第2頁
畢業(yè)設(shè)計-數(shù)字基帶傳輸系統(tǒng)性能的研究及仿真_第3頁
畢業(yè)設(shè)計-數(shù)字基帶傳輸系統(tǒng)性能的研究及仿真_第4頁
畢業(yè)設(shè)計-數(shù)字基帶傳輸系統(tǒng)性能的研究及仿真_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

0前言與模擬通信相比,數(shù)字通信具有許多優(yōu)良的特性。在過去,它的主要缺點就是設(shè)備復(fù)雜并且需要較大的傳輸帶寬。但是,近年來,隨著通信技術(shù)的迅速開展,大規(guī)模集成電路的出現(xiàn),數(shù)字通信系統(tǒng)的設(shè)備復(fù)雜程度和技術(shù)難度大大降低,同時高效的數(shù)據(jù)壓縮技術(shù)以及光纖等大容量傳輸介質(zhì)的使用正逐步使帶寬的問題得到了解決。因此,數(shù)字傳輸方式日益受到歡送。目前,雖然數(shù)字基帶傳輸不如帶通傳輸那樣應(yīng)用廣泛,但對于基帶傳輸系統(tǒng)的研究仍是十分有意義的。這是因為,第一,在利用對稱電纜構(gòu)成的近程數(shù)據(jù)通信系統(tǒng)中廣泛采用了這種傳輸方式;第二,隨著數(shù)字通信技術(shù)的開展,基帶傳輸方式也有迅速開展的趨勢,目前它不僅用于低速數(shù)據(jù)傳輸,而且還用于高速數(shù)據(jù)傳輸;第三,基帶傳輸中包含帶通傳輸?shù)脑S多根本問題,也就是說,基帶傳輸系統(tǒng)的許多問題也是帶通傳輸系統(tǒng)必須考慮的問題;第四,理論上也可以證明,任何一個采用線性調(diào)制的帶通系統(tǒng),可以等效為一個基帶傳輸系統(tǒng)來研究。因此,基帶傳輸系統(tǒng)是研究數(shù)字通信系統(tǒng)的根底。所以,數(shù)字基帶傳輸系統(tǒng)具有十分重要的研究意義[1]。同時,現(xiàn)代社會開展要求通信系統(tǒng)功能越來越強,性能越來越高,構(gòu)成越來越復(fù)雜,要求通信系統(tǒng)技術(shù)研究與產(chǎn)品開發(fā)縮短周期,降低本錢,提高水平。這樣鋒利對立的兩個方面要求,只有通過使用強大的計算機輔助分析技術(shù)和工具才能實現(xiàn)?,F(xiàn)代計算機科學技術(shù)的快速開展,已經(jīng)研發(fā)出了新一代的可視化的仿真軟件,這些功能強大的仿真軟件,使得通信系統(tǒng)的仿真技術(shù)得到了更快的開展。通信系統(tǒng)仿真貫穿通信系統(tǒng)工程設(shè)計的全過程,對通信系統(tǒng)的開展起著舉足輕重的作用。通信系統(tǒng)的仿真具有廣泛的適應(yīng)性和極好的靈活性,有助于更好的研究通信系統(tǒng)的性能。MATLAB是一種適用于工程應(yīng)用各領(lǐng)域分析設(shè)計與復(fù)雜計算的科學計算軟件,由美國Mathworks公司于1984年正式推出。MATLAB是矩陣(Matrix)和實驗室(laboratory)兩個英文單詞的前三個字母的組合,它是一種以矩陣運算為根底的交互式程序語言,著重針對科學計算,工程計算和繪圖的要求,現(xiàn)已成為大學教學和科研中最常用且必不可少的工具[2]。MATLAB提供的動態(tài)仿真工具可以有效地對系統(tǒng)進行建模,由于仿真過程是交互式的,可以靈活改變仿真參數(shù),并且可以立即得到修改參數(shù)后的仿真結(jié)果。因此,通過運用MATLAB對數(shù)字基帶傳輸系統(tǒng)進行仿真,可以更直觀更有效地對數(shù)字基帶傳輸系統(tǒng)的性能進行分析與研究。1數(shù)字基帶傳輸系統(tǒng)1.1概述隨著數(shù)字通信技術(shù)的迅速開展,數(shù)字處理的靈活性使得數(shù)字傳輸中傳輸?shù)臄?shù)字信息既可以來自計算機、電傳機等數(shù)據(jù)終端的各種數(shù)字代碼,也可以來自模擬信號經(jīng)數(shù)字化處理后的脈沖編碼信號等。在原理上,數(shù)字信息可以直接用數(shù)字代碼序列表示和傳輸,并且選用一組取值有限的離散波形來表示。這些取值離散的波形可以是未經(jīng)調(diào)制的電信號,也可以是調(diào)制后的信號。未經(jīng)調(diào)制的數(shù)字信號所占據(jù)的頻譜是從零頻或很低的頻率開始,稱為數(shù)字基帶信號[3]。在某些具有低通特性的有線信道中,特別是在傳輸距離不太遠的情況下,基帶信號可以不經(jīng)過載波調(diào)制而直接進行傳輸。例如,在計算機局域網(wǎng)中直接傳輸?shù)幕鶐}沖。這種不經(jīng)過載波調(diào)制而直接進行傳輸數(shù)字基帶信號的系統(tǒng),稱為數(shù)字基帶傳輸系統(tǒng)。1.2數(shù)字基帶傳輸系統(tǒng)結(jié)構(gòu)簡單的說,信源的信息以數(shù)字基帶信號的形式從發(fā)送端經(jīng)由信道傳到接收端的收信者,所構(gòu)成的系統(tǒng)稱為數(shù)字基帶傳輸系統(tǒng)。數(shù)字基帶傳輸系統(tǒng)的模型主要由信道信號形成器〔發(fā)送濾波器〕、信道、接收濾波器、抽樣判決器、同步提取電路五個局部組成,其原理框圖如圖1-1所示:圖1-1數(shù)字基帶傳輸系統(tǒng)的結(jié)構(gòu)Fig.1-1digitalbasebandtransmissionsystem'sstructure圖中各方框的功能和信號的傳輸過程簡述如下:1〕信道信號形成器〔發(fā)送濾波器〕它的功能是產(chǎn)生適合于信道傳輸?shù)幕鶐盘柌ㄐ?。因為其輸入的信號一般是?jīng)過碼型編碼器產(chǎn)生的傳輸碼,相應(yīng)的根本波形通常是矩形脈沖,其頻譜很寬,不利于傳輸,發(fā)送濾波器用于壓縮輸入信號頻帶,把輸入碼轉(zhuǎn)換成適宜于信道傳輸?shù)幕鶐盘柌ㄐ巍?〕信道信道是允許基帶信號通過的媒質(zhì),通常為有線信道,如雙絞線、同軸電纜等。信道的傳輸特性一般不滿足無失真?zhèn)鬏敆l件,且含有加性噪聲,因此會引起傳輸波形失真。本論文研究的數(shù)字基帶傳輸系統(tǒng)采用零均值的高斯白噪聲信道,即AWGN信道。3〕接收濾波器它是用來接收信號,盡可能的濾除信道噪聲和其他干擾,對信道進行均衡,使輸出的基帶波形有利于抽樣判決器的判決。4〕抽樣判決器抽樣判決器那么是在傳輸特性不理想及噪聲背景下,在規(guī)定時刻(由位定時脈沖控制)對接收濾波器的輸出波形進行抽樣判決,以恢復(fù)或再生基帶信號。5〕定時脈沖和同步提取用來抽樣的位定時脈沖依靠同步提取電路從接收信號中提取,位定時脈沖的準確與否將直接影響判決結(jié)果[4]。1.3基帶信號的選擇在實際的基帶傳輸系統(tǒng)中,并非所有的數(shù)字基帶信號都能在信道中傳輸,其原因如下:基帶數(shù)字信號含有豐富的直流或低頻分量,信道難以滿足傳輸要求;接收時不便于提取同步信號;由于限帶和定時抖動,容易產(chǎn)生碼間干擾;信號的碼型選擇和波形的形狀直接影響傳輸?shù)目煽啃耘c信道的利用率。不同形式的數(shù)字基帶信號〔又稱為碼型〕具有不同的頻譜結(jié)構(gòu),為適應(yīng)信道的傳輸特性及接收端再生、恢復(fù)數(shù)字基帶信號的需要,必須合理地設(shè)計數(shù)字基帶信號,即選擇適宜的信號碼型。適合于在有線信道中傳輸?shù)臄?shù)字基帶信號形式稱為線路傳輸碼型。為了在傳輸信道中獲得優(yōu)良的傳輸特性,數(shù)字基帶傳輸系統(tǒng)信號形式的選擇顯得非常重要。一般來說,在選擇數(shù)字基帶信號碼型時,應(yīng)遵循以下幾個根本原那么:數(shù)字基帶信號應(yīng)不含有直流分量,且低頻及高頻分量也應(yīng)盡量的少。在基帶傳輸系統(tǒng)中,往往存在著隔直電容及耦合變壓器,不利于直流及低頻分量的傳輸。此外,高頻分量的衰減隨傳輸距離的增加會快速地增大,另一方面,過多的高頻分量還會引起話路之間的串擾,因此希望數(shù)字基帶信號中的高頻分量也要盡量的少。數(shù)字基帶信號中應(yīng)含有足夠大的定時信息分量?;鶐鬏斚到y(tǒng)在接收端進行取樣、判決、再生原始數(shù)字基帶信號時,必須有取樣定時脈沖。一般來說,這種定時脈沖信號是從數(shù)字基帶信號中直接提取的。這就要求數(shù)字基帶信號中含有或經(jīng)過簡單處理后含有定時脈沖信號的線譜分量,以便同步電路提取。實際經(jīng)驗告訴我們,所傳輸?shù)男盘栔胁粌H要有定時分量,而且定時分量還必須具有足夠大的能量,才能保證同步提取電路穩(wěn)定可靠的工作?;鶐鬏?shù)男盘柎a型應(yīng)對任何信源具有透明性,即與信源的統(tǒng)計特性無關(guān)。這一點也是為了便于定時信息的提取而提出的。信源的編碼序列中,有時候會出現(xiàn)長時間連“0”的情況,這使接收端在較長的時間段內(nèi)無信號,因而同步提取電路無法工作。為防止出現(xiàn)這種現(xiàn)象,基帶傳輸碼型必須保證在任何情況下都能使序列中“1”和“0”出現(xiàn)的概率根本相同,且不出現(xiàn)長連“1”或“0”的情況。當然,這要通過碼型變換過程來實現(xiàn)。碼型變換實際上是把數(shù)字信息用電脈沖信號重新表示的過程。此外,選擇的基帶傳輸信號的碼型還應(yīng)該有利于提高系統(tǒng)的傳輸效率;具有較強的抗噪聲和碼間串擾的能力及自檢能力。實際系統(tǒng)中常常根據(jù)通信距離和傳輸方式等不同的要求,選擇適宜的基帶碼型[5]。數(shù)字基帶信號傳輸系統(tǒng)的傳輸碼型很多,如AMI碼、HDB3碼、雙相碼等。但是,為了研究的方便,在論文的下一章將重點對幾種不同形式的二進制基帶信號傳輸?shù)膫鬏斶^程和系統(tǒng)的抗噪性能進行詳細地分析和研究,傳輸碼型不作為本論文研究的重點,在此就不再對其做過多的介紹。2二進制基帶傳輸系統(tǒng)的抗噪性能本章首先對二進制信號傳輸?shù)腁WGN信道的最正確接收原理進行詳細的表達,然后重點對正交信號、反極性信號以及開關(guān)信號三種二進制信號基帶傳輸系統(tǒng)的傳輸原理和抗噪性能進行詳細的分析與研究。最后對三種二進制信號的星座圖作了簡單介紹。2.1二進制信號傳輸在二進制通信系統(tǒng)中,由0和1的序列組成的二進制數(shù)據(jù)是兩種信號波形和來傳輸?shù)?,假設(shè)數(shù)據(jù)率是,那么每個比特就按照規(guī)那么:映射為某個對應(yīng)的信號波形,式中定義為比特時間區(qū)間。假設(shè)數(shù)據(jù)比特流中的0和1都是等概率的,即每個出現(xiàn)的概率都是1/2,而且是互為統(tǒng)計獨立的。傳輸信號的信道假設(shè)被加性噪聲所污損,這樣的信道成為加性高斯白噪聲信道。就是功率譜為〔W/Hz)的白色高斯過程的一個樣本函數(shù)。這樣接收到的信號就可以表示成:(2-1)接收機的任務(wù)就是在接收到信號之后,判斷在區(qū)間內(nèi)發(fā)送的究竟是0還是1,接收機總是要設(shè)計為使過失概率最小,這樣的接收機稱為最正確接收機[6]。2.2AWGN信道的最正確接收機AWGN信道的最正確接收機由兩局部組成:一個是信號相關(guān)器或匹配濾波器;一個是檢測器。本節(jié)主要以正交信號的二進制基帶傳輸系統(tǒng)為例,對信號相關(guān)器、匹配濾波器、檢測器的根本工作原理進行詳細的分析,并研究正交信號的二進制傳輸系統(tǒng)的抗噪性能。2.2.1信號相關(guān)器信號相關(guān)器是將接收到的信號與兩個可能發(fā)送的信號做互相關(guān)運算,如圖2-1所示。也就是說,信號相關(guān)器在區(qū)間內(nèi)的兩個輸出如式2-2:(2-2)在時刻對這兩個輸出進行抽樣,并將抽樣輸出送入檢測器。圖2-1接收信號與兩個發(fā)送信號的互相關(guān)Fig.2-1Cross-correlationofthereceivedsignalwiththetwotransmittedsignals假設(shè)兩個正交信號的波形和如圖2-2所示,并先假設(shè)是已發(fā)送信號,那么已接收的信號是:(2-3)圖2-2和的信號波形Fig.2-2Signalwaveformsand當信號用圖2-1所示的兩個相關(guān)器處理是,在抽樣瞬時的輸出和為:(2-4)和(2-5)其中,和都是在信號相關(guān)器輸出的噪聲分量,即(2-6)而是信號和的能量。并且由于這兩個信號的波形是正交的,即(2-7)另一方面,當是已發(fā)送信號時,接收信號那么是:(2-8)那么這種情況下信號相關(guān)器的輸出為:(2-9)(2-10)所以,當發(fā)送信號為和時在區(qū)間內(nèi)兩個無噪聲相關(guān)器輸出分別為圖2-3〔a)、(b)所示:圖2-3無噪聲相關(guān)器的輸出Fig.2-3Noise-freecorrelationoutputs因為是功率譜為的高斯白噪聲過程的一個樣本函數(shù),所以噪聲分量和也是零均值高斯型的,所以有:〔2-11〕并且方差為:(2-12)因此,當被發(fā)送是,和的概率密度函數(shù)是:(2-13)和的概率密度函數(shù)的圖像如圖2-4所示。類似的,當被發(fā)送時,就是零均值和方差為的高斯隨機變量,而那么是均值為ξ和方差為的高斯隨機變量。 圖2-4當被發(fā)送時的概率密度函數(shù)和Fig.2-4Probabilitydensityfunctionsandwhenistransmitted2.2.2匹配濾波器在對接收信號濾波時,使輸出信號信噪比最大的線性濾波器稱為匹配濾波器[7]。設(shè)接收濾波器的傳輸函數(shù),沖激響應(yīng),濾波器輸入碼元的持續(xù)時間為,信號和噪聲之和為:(2-14)式中:為信號碼元,為高斯白噪聲。并設(shè)信號碼元的頻譜密度函數(shù)為,噪聲的雙邊功率譜密度為,為噪聲單邊功率譜密度。由于假定濾波器是線性的,根據(jù)線性電路疊加定理,當濾波器輸入電壓中包括信號和噪聲兩局部時,濾波器的輸出電壓中也包含相應(yīng)的輸出信號和輸出噪聲兩局部,即(2-15)其中,(2-16)為了求出輸出噪聲功率,由公式:(2-17)可知,一個隨機過程通過線性系統(tǒng)時,其功率譜密度等于輸入功率譜密度乘以系統(tǒng)傳輸函數(shù)的模的平方。所以,這時的輸出噪聲功率等于(2-18)因此,在抽樣時刻上,輸出信號瞬時功率與噪聲平均功率之比為(2-19)為了求出的最大值,我們利用施瓦茲不等式:(2-20)當時,上式等號成立,其中k為任意常數(shù)。將式〔2-19〕右端的分子看作是式〔2-10〕的左端,并令,那么有:(2-21)式中:,為信號碼元的能量。而且當(2-22)時,式〔2-21〕的等號成立,即得到最大的輸出信噪比。在白噪聲干擾的背景下,按上式設(shè)計的線性濾波器,將能夠在給定時刻上獲得最大輸出信噪比(),是輸出信噪比最大的時刻。這種濾波器就是最大信噪比意義下的最正確線性濾波器,它的傳輸特性與信號碼元頻譜的復(fù)共軛相一致,稱此濾波器為匹配濾波器[8]。匹配濾波器的特性還可以用沖激響應(yīng)函數(shù):(2-23)由式〔2-23〕可見,匹配濾波器的沖激響應(yīng)就是信號的鏡像,但在時間軸上(向右)平移了。一個實際的匹配濾波器應(yīng)該是物理可實現(xiàn)的,其沖激響應(yīng)必須符合因果關(guān)系,在輸入沖激脈沖參加前不應(yīng)該有沖激響應(yīng)出現(xiàn),即必須有:(2-24)即要求滿足條件:(2-25)或滿足條件:(2-26)由上述條件說明,接收濾波器輸入端的信號碼元在抽樣時刻之后必須為零。通常選擇在碼元末尾抽樣,即選。故匹配濾波器的沖激響應(yīng)可以寫為(2-27)這時,假設(shè)匹配濾波器的輸入電壓為,那么輸出信號碼元的波形為:(2-28)式〔2-28〕中說明,匹配濾波器輸出信號碼元波形是輸入信號碼元波形的自相關(guān)函數(shù)的倍。是一個任意常數(shù),它與的最大值無關(guān);通常取。匹配濾波器的性能:信號不同,對應(yīng)的匹配濾波器也不同;信號通過匹配濾波器會產(chǎn)生嚴重的波形失真;匹配濾波器只能用于數(shù)字信號的接收;最大信噪比只與信號能量及高斯白噪聲的功率譜密度有關(guān),與信號波形無關(guān)[9]。匹配濾波器為處理接收到的信號提供了另一種方法。匹配濾波器的接收原理如圖2-5所示。圖2-5匹配濾波接收的原理Fig.2-5Theprincipleofmatchedfiltersreceives對于信號波形,,匹配濾波器的沖激響應(yīng)為:(2-29)當輸入波形是時,在匹配濾波器輸出端的信號波形由下面的卷積積分給出:(2-30)如果將式〔2-29〕中的代入是〔2-30〕,可得:(2-31)如果在時對抽樣,可得:(2-32)其中,ξ是信號的能量。因此,匹配濾波器在的瞬時抽樣輸出與信號相關(guān)器的輸出是一樣的。假設(shè)兩個匹配濾波器的沖激響應(yīng)是:(2-33)用匹配濾波器對圖2-2所示的兩個信號進行接收處理,計算其輸出。將信號反轉(zhuǎn)得到,然后將反轉(zhuǎn)的信號延遲就得出。如圖2-6所示:圖2-6對信號和的匹配濾波器的沖激響應(yīng)Fig.2-6Impulseresponsesofmatchedfiltersforsignalsand當信號波形被發(fā)送時,那么接收到的信號通過這兩個匹配濾波器。那么沖激響應(yīng)的濾波器對信號分量的沖激響應(yīng)為:(2-34)沖激響應(yīng)為的濾波器對信號分量的沖激響應(yīng)為:(2-35)當信號被發(fā)送時,兩個濾波器的輸出波形和的波形如圖2-7(a)和(b)所示:圖2-7當被發(fā)送時匹配濾波器的信號輸出Fig.2-7Signaloutputsofmatchedfilterswhenistransmitted當信號波形被發(fā)送時,那么是已接收到的信號通過這兩個匹配濾波器。那么沖激響應(yīng)的濾波器對信號分量的響應(yīng)為:(2-36)沖激響應(yīng)為的濾波器對信號分量的沖激響應(yīng)為:(2-37)當信號被發(fā)送時,兩個濾波器的輸出波形和的波形如圖2-8(a)和(b)所示:圖2-8當被發(fā)送時匹配濾波器的信號輸出Fig.2-8Signaloutputsofmatchedfilterswhenistransmitted經(jīng)過上述分析可以發(fā)現(xiàn),無論信號是用信號相關(guān)器還是用匹配濾波器接收,當被發(fā)送時,只有通過信號相關(guān)器0或者匹配濾波器0,才能在時刻的瞬時抽樣值為到達最大為ξ,而通過信號相關(guān)器1或者匹配濾波器1在時刻的瞬時抽樣值為“0”。同理,當被發(fā)送時,只有通過信號相關(guān)器1或者匹配濾波器1,才能在的瞬時抽樣值最大為ξ,而經(jīng)過信號相關(guān)器0或者匹配濾波器0處理后在時刻的瞬時抽樣值為“0”。由以上分析可以看出,雖然信號相關(guān)器和匹配濾波器的原理不同,但信號經(jīng)過它們處理之后輸出的結(jié)果是一樣的。2.2.3檢測器檢測器觀察到信號相關(guān)器或匹配濾波器的輸出和,并判決所發(fā)送的信號波形是還是,也就分別相當于相應(yīng)的傳輸?shù)氖且粋€“0”或者“1”。能使過失概率最小的檢測器稱為最正確檢測器[10]?,F(xiàn)在以圖2-2所示的信號的檢測器為例,來分析二進制檢測的原理。假設(shè)這些信號都是等概率并且具有相等能量。這兩個信號的最正確檢測器將比擬和,并且做出判斷:當時傳輸?shù)氖恰?”,當時傳輸?shù)氖恰?”。并計算出過失概率。當發(fā)送的信號波形是時,過失概率為:(2-38)因為和都是零均值高斯隨機變量,它們的差也是零均值高斯型的,隨機變量的方差是:(2-39)因為這些信號是正交的,所以,即(2-40)因此(2-41)所以,過失概率為:(2-42)式中比值稱為信噪比(SNR)。由于在這個數(shù)據(jù)序列中的0和1是等概率的,同理可以證明當傳送的信號波形為時得出的過失概率與傳送是的過失概率相同。所以正交信號的二進制基帶傳輸系統(tǒng)平均過失概率如式2-42所示[11]。2.3其他二進制信號的基帶傳輸在上一節(jié)所討論的是基于利用正交信號的二進制傳輸方法,在本節(jié)將繼續(xù)討論基于利用另外兩種二進制信號的基帶傳輸方法,一種是使用反極性信號,另一種使用開關(guān)信號。本節(jié)將會對反極性信號和開關(guān)信號這兩種二進制信號的基帶傳輸?shù)母驹砼c系統(tǒng)的抗噪性能進行相應(yīng)的分析,并且與正交信號的基帶傳輸系統(tǒng)的抗噪性能進行簡單的比擬。2.3.1反極性信號的基帶傳輸如果一種傳輸信號波形是另一種信號的負值,那么稱這兩種信號是反極性的。例如圖2-9(a)給出了一對反極性信號,而圖2-9(b)給出了另一對反極性信號。圖2-9反極性信號對舉例Fig.2-9Examplesofpairsofantipodalsignals現(xiàn)在設(shè)想用反極性信號波形和來傳輸二進制信息。其中,是能量為ξ的某任意波形,從AWGN信道接收到的信號波形可表示為:(2-43)用于恢復(fù)該二進制信息的最正確接收機使用了一個信號相關(guān)器或與匹配的匹配濾波器,再緊跟著一個檢測器,如圖2-10(a)、(b)所示:圖2-10對于反極性信號的最正確接收機Fig.2-10Optimumreceiverforantipodalsignals假設(shè)發(fā)送的信號是,接收到的信號就是:(2-44)在時刻瞬時抽樣,信號相關(guān)器或者匹配濾波器的輸出是:(2-45)其中,是信號的能量,是加性噪聲分量,可以表示為:(2-46)因為加性噪聲過程是零均值的,因此有。噪聲分量的方差是:(2-47)結(jié)果,當發(fā)送的信號是時,檢測器的輸入是:,的概率密度函數(shù)為:(2-48)類似地,當發(fā)送的信號波形是時,檢測器的輸入是:(2-49)這時的概率密度函數(shù)為:(2-50)那么這兩個概率密度函數(shù)如圖2-11所示:圖2-11檢測器輸入的概率密度函數(shù)Fig.2-11Probabilitydensityfunctionsfortheinputtothedetector必須在和之間找一個適宜的閾值作為檢測器的判決門限,將輸入到檢測器中的信號與閾值相比擬,假設(shè),那么判決為是信號被發(fā)送;假設(shè),那么判決為信號被發(fā)送??梢?,在二進制基帶信號的傳輸過程中,噪聲引起的誤碼有兩種過失形式:發(fā)送的是“1”碼。卻被誤判為“0”碼;發(fā)送的是“0”碼,卻被誤判為“1”碼。下面分別計算著兩種過失概率,找出一個適宜的閾值作為檢測器的判決門限。發(fā)“1”誤判為“0”的概率為:(2-51)發(fā)“0”誤判為“1”的概率為:(2-52)假設(shè)信源發(fā)送“0”的概率為,發(fā)送“1”的概率為,那么二進制基帶傳輸系統(tǒng)的總過失概率為:(2-53)將式2-51和式2-52代入式2-53中,誤碼率與發(fā)送概率、,信號的能量,噪聲分量的方差以及判決門限,在、,信號的能量,給定的情況下,可以找到一個使誤碼率最小的判決門限電平,稱為最正確判決電平。令,由式2-51、式2-52、式2-53可以求得最正確判決電平為:(2-54)對于等概率的信號波形,即,那么有最正確判決電平。這時,可以計算出反極性二進制基帶傳輸系統(tǒng)的總誤碼率為:(2-55)將反極性信號的誤碼率與式2-42給出的正交信號的基帶傳輸系統(tǒng)的誤碼率比擬可以看出,對于同樣的發(fā)送信號的能量,反極性信號的二進制基帶傳輸系統(tǒng)具有更好的性能。換種方式說,當系統(tǒng)限定相同的誤碼率的情況下,反極性信號只需要使用正交信號一半的發(fā)送能量,所以,反極性信號的基帶傳輸系統(tǒng)比正交信號在效率上要高出3dB[12]。2.3.2開關(guān)信號的基帶傳輸二進制信息序列也可以通過開關(guān)信號來傳輸。在本節(jié)將分析利用開關(guān)信號的基帶傳輸系統(tǒng)的抗噪性能。二進制信息序列通過開關(guān)信號傳輸時,為了發(fā)送一個“0”,在持續(xù)的時間區(qū)間內(nèi)不傳送任何信號;為了發(fā)送一個“1”,那么傳送信號波形。于是,接收到的信號波形可以表示為:(2-56)其中,代表加性高斯白噪聲。與反極性信號的情況一樣,最正確接收機由一個信號相關(guān)器或與匹配的匹配濾波器〔它的輸出在時刻被抽樣〕和一個檢測器組成,它將輸出與閾值進行比擬,假設(shè),那么判為傳輸?shù)氖恰?”;否那么,就判為傳輸?shù)氖恰?”。利用開關(guān)信號的基帶傳輸系統(tǒng)的檢測器的輸入可以表示為:(2-57)其中,是零均值、方差的高斯隨機變量。因此,隨機變量的的條件概率密度函數(shù)是:(2-58)它們的概率密度函數(shù)如圖2-12所示:圖2-12檢測器輸入的概率密度函數(shù)Fig.2-12Probabilitydensityfunctionsfortheinputtothedetector為了讓檢測器獲得最正確的檢測結(jié)果,同樣需要尋找一個最正確的判決門限。假設(shè)判決門限是,傳輸?shù)氖恰?”,誤判為“0”的概率為:(2-59)傳輸?shù)氖恰?”,誤判為“1”的概率為:(2-60)同樣,假設(shè)假設(shè)信源發(fā)送“0”的概率為,發(fā)送“1”的概率為,那么二進制基帶傳輸系統(tǒng)的總過失概率為:(2-61)令,由式2-59、式2-60、式2-61可以求得最正確判決門限電平為:(2-62)對于等概率的信號波形,即時,那么有最正確判決電平。這時,如可以計算出反極性二進制基帶傳輸系統(tǒng)的總誤碼率為:(2-63)由式2-63可以看出,使用開關(guān)信號的二進制基帶傳輸系統(tǒng)的誤碼率的性能不如反極性信號基帶系統(tǒng)那么好,甚至與之相比差6dB。與正交信號相比差3dB。但是,對于開關(guān)信號而言,其平均發(fā)送的能量比反極性信號少一半,所以在與其他信號類型進行性能比擬時,也應(yīng)該考慮這個差異。2.4二進制信號的星座圖對于正交信號、反極性信號以及開關(guān)信號這三種二進制信號都可以在幾何上用“信號空間”中的點來表示,在反極性信號的情況下,信號是和,每個信號都具有能量,兩個信號的點落在實線上的點處,如圖2-13(a)所示。反極性信號的一維幾何表示之所以可能,是因為僅用一個信號波形或基函數(shù),即,就足以在信號空間內(nèi)表示出這對反極性信號。開關(guān)信號也是一維信號,所以兩個信號落在實線上0和點處,如圖2-13(b)所示。另一方面,二進制正交信號需要有一個二維的幾何表示,因為它存在兩個線性獨立的函數(shù)和,它們構(gòu)成了兩種信號波形。所以,對應(yīng)于這兩個信號的信號點就在和上,如圖2-13(c)所示。所以,圖2-13所示的二進制信號的幾何表示就稱為信號星座圖[13]。圖2-13二進制信號的星座圖Fig.2-13Signalsconstellationsforbinarysignals3MATLAB仿真的介紹MATLAB是通信系統(tǒng)仿真最常用的軟件之一,通過MATLAB對通信系統(tǒng)的仿真可以更直觀地分析和研究系統(tǒng)的性能。本論文運用MATLAB對數(shù)字基帶傳輸系統(tǒng)的抗噪性能進行了MonteCarlo仿真估計。為了后續(xù)仿真過程更加流暢的進行,在本章將對MATLAB、計算機軟件仿真的步驟以及MonteCarlo仿真方法進行相應(yīng)的介紹。3.1MATLAB簡介MATLAB是一種適于工程應(yīng)用各領(lǐng)域分析與復(fù)雜計算的科學計算軟件,由美國Mathworks公司于1984年正式推出。它是一種以矩陣運算為根底的交互式程序語言,著重針對科學計算、工程計算和繪圖要求,現(xiàn)已成為通信領(lǐng)域必不可少的工具[14]。MATLAB是一種編程語言和可視化工具,它能對數(shù)據(jù)已圖形的方式顯示出來,使數(shù)據(jù)間的關(guān)系更加明了。運用MATLAB可以對通信系統(tǒng)進行建模,對通信模型進行仿真。通過對系統(tǒng)的仿真可以清晰直觀的對系統(tǒng)的性能進行研究。因此,MATLAB是通信系統(tǒng)仿真必不可少的工具。3.2仿真實驗與仿真分析仿真實驗仿真實驗是一個或者一系列針對仿真模型的測試。在仿真實驗的過程中,通常需要屢次改變仿真模型輸入信號的數(shù)據(jù),以觀察和分析仿真模型對這些信號的反響,以及仿真系統(tǒng)在這個過程中表現(xiàn)出來的性能。需要強調(diào)的一點是,仿真過程使用輸入的數(shù)據(jù)必須具有一定的代表性,即能夠從各個角度顯著地改變輸出信號的數(shù)值,同時也要能夠很明顯的表達出仿真系統(tǒng)的性能[15]。實施仿真之前要確定的另外一個因素是性能尺度。性能尺度指的是能夠衡量仿真過程中系統(tǒng)性能的輸出信號的數(shù)值〔或根據(jù)輸出信號計算得到的數(shù)值〕,因此,在實施仿真實驗之前,首先要確定仿真過程中應(yīng)該收集那些仿真數(shù)據(jù),這些數(shù)據(jù)以什么樣的格式存在以及要收集多少數(shù)據(jù)等。在明確了仿真系統(tǒng)對輸入信號和輸出信號的要求后,最好把所有的數(shù)據(jù)設(shè)置整理成一份簡單的文檔,以便記住仿真設(shè)計過程中的一些細節(jié),最后,還要明確各個輸入信號的初始值以及仿真系統(tǒng)內(nèi)部各個狀態(tài)的初始值。仿真程序的運行實際上是計算機的計算過程,這個過程一般不需要人工干預(yù),花費的時間由仿真的復(fù)雜度確定,如果需要在比擬系統(tǒng)在不同的參數(shù)設(shè)置下的性能,應(yīng)該注意要控制單一變量,這樣才能夠保證對仿真結(jié)果的分析和比擬的客觀性和可靠性。仿真分析仿真分析是一個通信系統(tǒng)仿真流程中的最后一個步驟。在仿真分析的過程中,用戶已經(jīng)從仿真過程中獲得了足夠多的關(guān)于系統(tǒng)性能的信息,但這些信息只是一個原始的數(shù)據(jù),一般還需要經(jīng)過數(shù)值分析和適當?shù)奶幚聿拍軌颢@得衡量系統(tǒng)性能的尺度,從而獲得對整個仿真系統(tǒng)的總體評價。對于不同信號的通信系統(tǒng)仿真,要將最后的仿真結(jié)果進行客觀的比擬和分析,最后才能對不同信號的通信系統(tǒng)的性能做出一個綜合性的評價。圖表是最簡潔的分析說明工具,它具有很強的直觀性,更便于分析和比擬,因此,仿真分析的結(jié)果一般都繪制成圖表形式。對于MATLAB這個仿真軟件,它具有很強的繪圖功能,能夠非常便捷的繪制各種類型的圖表,有利于對仿真結(jié)果的分析與比擬[16]。3.3仿真設(shè)計的步驟仿真設(shè)計的步驟一般有以下幾個方面。1〕仿真問題的提出系統(tǒng)設(shè)計之前,應(yīng)該有一個完整、準確的需求說明。建立系統(tǒng)仿真的第一步,必須清楚、準確地提出仿真試驗所要解決的問題。 2〕仿真系統(tǒng)分析 對所提出的仿真系統(tǒng)給出詳細定義,明確系統(tǒng)中的模塊、系統(tǒng)構(gòu)成、模塊之間的相互關(guān)系,系統(tǒng)的輸入輸出、邊界條件以及系統(tǒng)的約束條件,并確定仿真所要到達的目標。3〕建立系統(tǒng)的數(shù)學模型 根據(jù)仿真系統(tǒng)分析的結(jié)果,確定系統(tǒng)中的參數(shù)、變量及其相互之間的關(guān)系,并以數(shù)學形式將這些關(guān)系描述出來,從而構(gòu)成仿真系統(tǒng)的數(shù)學模型。數(shù)學建模是系統(tǒng)仿真中最關(guān)鍵的一步,所建立的數(shù)學模型必須盡可能準確地反映所關(guān)心的真實系統(tǒng)的特性,而又不能過于復(fù)雜,以免降低模型的效率,增加不必要的計算過程,即建模需要根據(jù)求解問題的要求,在模型的近似程度與復(fù)雜程度之間折中。通信系統(tǒng)的數(shù)學模型通常以方框圖形式或數(shù)學方程形式來表達。4〕數(shù)據(jù)收集根據(jù)建立的數(shù)學模型所需要的數(shù)據(jù)元素,收集與模型系統(tǒng)有關(guān)的數(shù)據(jù)。5〕根據(jù)數(shù)學模型建立系統(tǒng)的MATLAB仿真模型系統(tǒng)的MATLAB仿真模型是指數(shù)學模型的MATLAB實現(xiàn)。確定仿真模型就是根據(jù)數(shù)學模型和收集的數(shù)據(jù),確定其中各子模塊的結(jié)構(gòu),輸入輸出接口,輸入輸出的數(shù)據(jù)表達形式,數(shù)據(jù)的存儲方式等。然后編制相應(yīng)的程序流程,最后編寫程序設(shè)計語言。6〕仿真模型驗證仿真模型驗證的目的是確定仿真模型是否準確表達了數(shù)學模型。由于仿真模型是由程序?qū)崿F(xiàn)的數(shù)學模型,編制程序的錯誤、求解問題方法選擇不當均會導(dǎo)致仿真結(jié)果偏離真實值。MATLAB/Simulink提供了非常穩(wěn)定的數(shù)值計算函數(shù),并且由于MATLAB語言更接近數(shù)學語言表達,使得在程序調(diào)試、查錯排錯上的花費大大減少,使得用戶可以將大量精力集中于數(shù)學建模和仿真結(jié)果分析上,而不是將時間消耗在程序調(diào)試之中。仿真模型驗證通常的方法是將數(shù)學模型的解析結(jié)果〔或理論結(jié)果〕與仿真所得到的數(shù)值結(jié)果相比擬來完成的;或通過的系統(tǒng)輸入輸出結(jié)果,比照在相同條件下的系統(tǒng)仿真結(jié)果來驗證仿真模型的正確性。7〕仿真模型確實認仿真模型確實認就是確定仿真模型是否按照設(shè)計所要求的精度代表實際系統(tǒng),即仿真模型是否合理??赏ㄟ^將模型與現(xiàn)實系統(tǒng)相比擬來確認仿真模型。例如,對于無線電信道可以有不同的數(shù)學建模,而這些數(shù)學模型對于特定條件下的實際無線信道的近似程度往往是不同的。模型驗證和確認對于系統(tǒng)仿真結(jié)果的有效性是至關(guān)重要的。工程實踐中,在圖上作業(yè)時,仿真試驗得出相關(guān)結(jié)果后,還要進行現(xiàn)場踏勘。此時可以驗證建模與仿真的結(jié)論與實際的測量結(jié)果的差異,對仿真模型進行分析和評估。8〕仿真試驗設(shè)計仿真試驗設(shè)計就是確定仿真試驗方案,包括:系統(tǒng)鼓勵信號的設(shè)計,系統(tǒng)仿真時間設(shè)計,仿真運行次數(shù)設(shè)計,以及仿真系統(tǒng)的其他參數(shù)設(shè)計等。9〕仿真模型的運行根據(jù)仿真試驗設(shè)計的方案,讓計算機執(zhí)行計算,并在執(zhí)行計算的過程中了解仿真模型對于各種不同輸入信號以及不同參數(shù)和仿真機制下的輸出,得出試驗數(shù)據(jù),從而預(yù)測系統(tǒng)在實際環(huán)境中的運行情況。10〕仿真結(jié)果分析對仿真模型的運行階段所產(chǎn)生的數(shù)據(jù)進行分析,其目的是從運行階段所產(chǎn)生的數(shù)據(jù)中找出系統(tǒng)運行規(guī)律,對仿真系統(tǒng)的性能做出評價,為系統(tǒng)方案的最終決策提供輔助支持。對仿真結(jié)果的分析通常采用統(tǒng)計學的分析方法,對仿真數(shù)據(jù)的可靠性、一致性、置信度等做出判定,最終將仿真結(jié)果以動畫、曲線、圖表和文字等形式形成仿真報告或論文。在MATLAB/Simulink中提供了非常方便的數(shù)據(jù)分析函數(shù)和顯示工具,如:作圖,示波器,頻譜分析儀,動畫,統(tǒng)計工具箱中的各種統(tǒng)計分析函數(shù),數(shù)據(jù)插值等[17]。3.4MonteCarlo仿真方法本論文運用MATLAB軟件對數(shù)字基帶傳輸傳輸系統(tǒng)的仿真運用的是MonteCarlo的仿真方法。所以,在本小節(jié)將對MonteCarlo仿真方法進行簡單地介紹。MonteCarlo方法

即蒙特·卡羅方法。MonteCarlo仿真方法又稱統(tǒng)計試驗法,它是一種采用統(tǒng)計抽樣理論近似地求解數(shù)學、物理及工程問題的方法[18]。它解決問題的根本思想是,首先建立與描述該問題有相似性的概率模型,然后對模型進行隨機模擬或統(tǒng)計抽樣,再利用所得的結(jié)果求出特征量的統(tǒng)計值作為原問題的近似解,并對解的精度做出某些估計。MonteCarlo仿真方法的主要理論根底是概率論中的大數(shù)定律,要主要手段為隨機變量的抽樣分析。MonteCarlo仿真方法的特點如下:1〕MonteCarlo仿真分析是通過大量而簡單的重復(fù)抽樣實現(xiàn)的,故計算方法和程序結(jié)構(gòu)都很簡單;2〕收斂的概率性和收斂速度與問題的維數(shù)無關(guān);3〕適應(yīng)性強,受問題條件限制的影響較??;4〕收斂速度較慢,不宜用來解決精度要求很高的實際問題。MonteCarlo仿真方法在實際中能否應(yīng)用的關(guān)鍵問題之一,是能否有簡便、經(jīng)濟和可靠的隨機數(shù)產(chǎn)生方法[19]。隨機數(shù)的產(chǎn)生方法主要有三類:第一類是利用專門的隨機數(shù)表;第二類為物理方法,即用物理裝置產(chǎn)生隨機數(shù);第三類為數(shù)學方法,即用專門的運算程序在計算機上產(chǎn)生隨機數(shù)。前兩種方法由于其固有的缺陷而降低了其使用價值。最后一種數(shù)學方法是目前使用較廣、開展較快的方法。本論文對基帶系統(tǒng)的MonteCarlo仿真估計選擇的就是利用運算程序在計算機上產(chǎn)生的隨機數(shù),也就是所謂數(shù)學方法。4二進制基帶傳輸系統(tǒng)的仿真在實際情況下,為了估計某個數(shù)字通信系統(tǒng)的過失概率,通常都用MonteCarlo計算機仿真來完成。本章主要研究的內(nèi)容是通過MATLAB仿真軟件對正交信號、反極性信號以及開關(guān)信號三種二進制信號的數(shù)字基帶傳輸系統(tǒng)進行仿真。通過仿真,對三種二進制信號的基帶傳輸系統(tǒng)的過失概率做出一個驗證性的分析,同時也對這三種數(shù)字基帶傳輸系統(tǒng)的性能進行比照分析和研究,最終對數(shù)字基帶傳輸系統(tǒng)做出綜合性的評價。同時,以正交信號的基帶傳輸系統(tǒng)為例,通過不同噪聲大小的影響,對正交信號的基帶傳輸系統(tǒng)中檢測器接收到的信號的星座圖做了簡單的仿真,以便進一步研究噪聲對系統(tǒng)性能的影響。4.1正交信號的基帶系統(tǒng)的仿真對一個使用相關(guān)器或匹配濾波器的正交信號的基帶傳輸系統(tǒng),運用MonteCarlo仿真估計,并畫出與SNR的關(guān)系曲線圖。該系統(tǒng)的仿真模型如圖4-1所示:圖4-1正交信號基帶傳輸系統(tǒng)的仿真模型4-1Orthogonalsignalbasebandtransmissionsystemsimulationmodel具體仿真過程如下:我們先仿真產(chǎn)生隨機變量的和,它們構(gòu)成了檢測器的輸入。首先那么需要產(chǎn)生一個等概率出現(xiàn)的并且互為統(tǒng)計獨立的二進制0和1的序列。為了實現(xiàn)這一點,那么要使用一個產(chǎn)生范圍在(1,0)內(nèi)的均勻隨機數(shù)的隨機數(shù)發(fā)生器,如果產(chǎn)生的隨機數(shù)在(0,0.5)之間,二進制數(shù)據(jù)源輸出的就是0,如果產(chǎn)生的隨機數(shù)在(0.5,1)之間,那么二進制數(shù)據(jù)源的輸出就是1.假設(shè)產(chǎn)生的是一個0,那么,;假設(shè)產(chǎn)生的是一個1,那么,。然后,利用兩個高斯噪聲發(fā)生器產(chǎn)生加性高斯白噪聲分量和,它們的均值為0,方差。為了便于仿真,可以將信號能量歸一化到1()而改變。應(yīng)該注意的是,這時SNR(定義為)就等于。將檢測器的輸出與二進制發(fā)送序列進行比擬,用過失計數(shù)器來計算出比特過失數(shù)[20]。運用MATLAB對整個過程的進行仿真,仿真結(jié)果如圖4-2所示:圖4-2正交信號仿真的過失概率與理論過失概率的比擬Fig.4-2Errorprobabilitycomparedwiththeoreticalerrorprobabilityfororthogonalsignalsimulation圖4-2示意了在12個不同的SNR的取值下,傳輸個比特時正交信號的基帶傳輸系統(tǒng)的仿真結(jié)果。我們可以清楚的看到仿真結(jié)果與式2-42所給出的理論值曲線根本吻合,系統(tǒng)的過失概率隨著信噪比SNR的增加成指數(shù)減少。同時我們還可以注意到,在個數(shù)據(jù)比特的情況下仿真能夠可靠的估計過失概率在以下;也就是說,如果用個數(shù)據(jù)比特,在對的可靠估計下應(yīng)該至少有10個過失。4.2反極性信號的基帶系統(tǒng)的仿真本小節(jié)將介紹用MonteCarlo仿真估計并畫出利用反極性信號的二進制基帶傳輸系統(tǒng)的過失概率性能,該系統(tǒng)的仿真模型如圖4-3所示:圖4-3反極性信號的基帶傳輸系統(tǒng)的模型4-3Antipodalsignalsinbasebandtransmissionsystemmodel詳細的仿真過程如下:我們先仿真隨機變量的產(chǎn)生,它是檢測器的輸入。首先,用一個均勻隨機數(shù)發(fā)生器從二進制數(shù)據(jù)源中產(chǎn)生一個等概率的二進制信息序列。這個0和1的序列映射為的序列,其中代表信號能量。當產(chǎn)生的是一個1時,那么檢測器輸入的是;當產(chǎn)生的是0時,那么檢測器的輸入。然后,用一個高斯噪聲發(fā)生器產(chǎn)生均值為0,方差為的加性高斯白噪聲。為了仿真的方便,將信號的能量歸一化到1而改變的值從而改變信噪比SNR。檢測器用閾值0與隨機變量進行比擬;假設(shè),那么判決傳送的比特是一個0;假設(shè),那么判決傳送的是一個1。最后將檢測器的輸出與二進制數(shù)據(jù)源產(chǎn)生的信息比特序列進行比擬,用過失計數(shù)器計算出過失率。運用MATLAB對整個過程的進行仿真,仿真結(jié)果如圖4-4所示:圖4-4反極性信號仿真的過失概率與理論過失概率的比擬Fig.4-4Errorprobabilitycomparedwiththeoreticalerrorprobabilityforantipodalsignalssimulation圖4-4示意了在10個不同的SNR值下,傳輸個信息比特的序列時的反極性信號的二進制基帶傳輸系統(tǒng)的仿真結(jié)果??梢钥闯龇抡娼Y(jié)果根本上與理論值相一致,系統(tǒng)的過失概率隨著信噪比SNR的增加成指數(shù)減少。但值得注意的是,對于10000個傳輸比特,該仿真估計的在10-3以下不太準確。4.3開關(guān)信號的基帶系統(tǒng)的仿真本節(jié)主要介紹利用開關(guān)信號的基帶傳輸系統(tǒng)的仿真過程,同樣通過MonteCarlo仿真估計并畫出使用開關(guān)信號的基帶通信系統(tǒng)的性能。圖4-5所示的就是使用開關(guān)信號的基帶傳輸系統(tǒng)的仿真模型。圖4-5開關(guān)信號的基帶傳輸系統(tǒng)的模型Fig.4-5On-offsignalsinbase-bandtransmissionsystemmodel仿真的具體過程如下:首先,用一個均勻隨機數(shù)發(fā)生器從二進制數(shù)據(jù)源中產(chǎn)生一個等概率的二進制信息序列。這個0和1的序列映射為0和的序列,其中代表信號能量。當產(chǎn)生的是一個1時,那么檢測器輸入的是;當產(chǎn)生的是0時,那么檢測器的輸入。然后,用一個高斯噪聲發(fā)生器產(chǎn)生均值為0,方差為的加性高斯白噪聲。為了仿真的方便,將信號的能量歸一化到1而改變的值從而改變信噪比SNR。檢測器用閾值與隨機變量進行比擬;假設(shè),那么判決傳送的是1;假設(shè),那么判決傳送的是0。最后將檢測器的輸出與二進制數(shù)據(jù)源產(chǎn)生的信息比特序列進行比擬,用過失計數(shù)器計算出過失概率。運用MATLAB對整個過程的進行仿真,仿真結(jié)果如圖4-6所示:圖4-6開關(guān)信號仿真的過失概率與理論過失概率的比擬Fig.4-2Errorprobabilitycomparedwiththeoreticalerrorprobabilityforon-offsignalssimulation圖4-6示意了在15個不同的SNR值下,傳輸個信息比特的序列時的開關(guān)信號的二進制基帶傳輸系統(tǒng)的仿真結(jié)果??梢钥闯龇抡娼Y(jié)果根本上與理論值相一致,系統(tǒng)的過失概率隨著信噪比SNR的增加成指數(shù)減少。4.4星座圖的仿真噪聲對二進制基帶傳輸系統(tǒng)的影響可以從檢測器輸入端接收到的含有加性噪聲的信號中看到。通過對檢測器接收到的信號的星座圖的仿真,可以比擬不同程度的噪聲對信號星座圖的影響,從而可以分析出噪聲大小對系統(tǒng)性能的影響[21]。為了簡化分析過程,以二進制正交信號為例,檢測器的輸入由一對隨機變量(,)組成,這里的(,)或者為:,或者為:。噪聲隨機變量和都是零均值、方差為的獨立高斯隨機變量。分別按、和,運用MATLAB用MonteCarlo仿真方法對每個值產(chǎn)生100個(,)的樣本,畫出在檢測器輸入端接收到的信號的星座圖。信號能量歸一化到1。仿真圖如4-7所示:圖4-7對于正交信號,在檢測器輸入端的接收的信號點Fig.4-7Receivedsignalpointsatinputtotheselectorfororthogonalsignals由圖4-7所示的仿真結(jié)果可以看出,在值很小的時候,100個樣本點的星座圖分布緊密,與理論效果很一致;但隨著值得增大,100個樣本點的對應(yīng)的仿真圖越來越散亂,與理論效果偏離很大。也就是說在低噪聲水平的情況下,噪聲對通信系統(tǒng)的性能〔誤碼率〕影響是很小的;但隨著噪聲分量的增大,引起了更多的誤碼。5結(jié)論隨著現(xiàn)代通信技術(shù)的迅速開展,人們對通信技術(shù)的要求也越來越高,都希望能夠享受更高的通信質(zhì)量,并且追求更高的通信速度。盡管數(shù)字基帶傳輸不如頻帶傳輸那樣應(yīng)用廣泛,但是對數(shù)字基帶傳輸系統(tǒng)的研究依然是研究其他數(shù)字通信系統(tǒng)的根底[22]。本文對數(shù)字基帶傳輸系統(tǒng)的根本組成做了詳細的介紹;并簡述了數(shù)字基帶傳輸系統(tǒng)各局部的功能和信號傳輸?shù)母具^程。通過文章對數(shù)字基帶傳輸系統(tǒng)的研究和分析可以發(fā)現(xiàn),數(shù)字基帶傳輸系統(tǒng)簡單的說就是信源以基帶信號的形式從發(fā)送端經(jīng)過信道傳送到接收端的收信者形成的一個系統(tǒng)。整個系統(tǒng)的性能取決于以下三點:第一,基帶信號的不同形式。對于利用不同的基帶信號的數(shù)字基帶傳輸系統(tǒng)的抗噪性能不同,從文章研究的正交信號、反極性信號和開關(guān)信號三種二進制信號的基帶傳輸系統(tǒng)的抗噪性能比照來看,利用反極性信號的二進制基帶傳輸系統(tǒng)的抗噪性能要比利用其他兩種二進制信號的基帶傳輸系統(tǒng)的抗噪性能要好。所以,不同的基帶信號的形式,對整個基帶傳輸?shù)男阅苡胁煌潭鹊挠绊?;第二,最正確接收機的設(shè)計。對于基帶傳輸系統(tǒng),接收機的設(shè)計是一個非常重要的環(huán)節(jié)。接收機的任務(wù)是將接收到的信號完整復(fù)原成原始的發(fā)送信號,并且要是總體的過失概率最小。最正確接收機的核心是一個匹配濾波器或信號相關(guān)器和檢測器[23]。經(jīng)過具體分析可以得出,匹配濾波器與信號相關(guān)器對接收信號的處理的最終效果根本上是一致的。同時,論文中研究了不同形式的二進制信號的基帶傳輸系統(tǒng),檢測器要對不同形式的信號進行有效地檢測。為了是平均過失概率最小、提高整個系統(tǒng)的性能,檢測器一定要設(shè)置最正確的判決門限。因此,為了更好的系統(tǒng)性能,最正確接收機的設(shè)計也是關(guān)鍵;第三,噪聲大小的影響。本論文主要討論的系統(tǒng)所采用是加性高斯白噪聲(AWGN)信道。從論文的第四章對接收到的加不同程度噪聲分量的信號的星座圖仿真可以很直觀的得出,噪聲越大,對系統(tǒng)性能的影響越大,信號正確有效地接收的難度也就越大。因此,對于數(shù)字基帶傳輸系統(tǒng)性能的研究,也要合理的控制噪聲分量的大小,不能超出整個系統(tǒng)的抗噪聲能力的極限,才能更加準確地對整個系統(tǒng)的性能做出合理的分析。通過MATLAB對數(shù)字基帶通信系統(tǒng)的仿真,使得對數(shù)字基帶傳輸系統(tǒng)性能的研究和分析過程變得更加直觀和便捷[24]。通過仿真結(jié)果與理論比照分析,不僅驗證了理論研究的正確性,同時對基于不同信號形式的數(shù)字基帶傳輸系統(tǒng)的性能有了更加綜合性的評價。致謝本設(shè)計是在盧永老師的悉心指導(dǎo)和嚴格要求下完成的。無論是從課題的選擇、方案的論證到具體設(shè)計的調(diào)試和更改,都凝聚著老師的心血。老師無論是在論文的撰寫、理論的研究還是MATLAB仿真方面,都有十分深厚的經(jīng)驗。老師嚴謹?shù)墓ぷ鲬B(tài)度和銳意創(chuàng)新的精神,都讓我受益匪淺。在此,特別向盧老師表示由衷的敬意和深深的感謝。在理論研究的局部,老師指導(dǎo)我參考了很多資料。我在學習資料的過程中,碰到很多不懂問題,每次去向老師請教時,老師都會耐心的認真的給我講解,一次又一次,不厭其煩。由于對MATLAB軟件不太熟悉,有很多東西都不懂,老師總會很細心教我解決在仿真過程中的種種問題。同時,對于要求這么嚴格的論文我也是第一次撰寫,老師在論文如何撰寫方面也給了我很多的指導(dǎo)。很感謝老師的嚴格要求,讓我對此設(shè)計一直保持著十分端正的態(tài)度,最終完成了該設(shè)計。在論文的最后,再次感謝盧永老師,是老師的悉心指導(dǎo),論文才得以順利的完成。在寫論文期間,老師淵博的學識和嚴謹求實的科學態(tài)度讓我受益匪淺。我相信對我以后的生活和工作都會產(chǎn)生深遠的影響。參考文獻[1]樊昌信,曹麗娜.通信原理[M].第6版.北京:國防工業(yè)出版社,2012.1[2]阿奇.MATLAB實用教程[M].北京:電子工業(yè)出版社2004.6[3]王秉鈞,馮玉珉〔等〕.通信原理[M].北京:清華大學出版社,2006.9[4]周炯槃,龐沁華〔等〕.通信原理[M].北京:北京郵電大學出版社,2008.11[5]張力軍,錢學榮〔等〕.通信原理[M].北京:高等教育出版社,2008.6[6]王興亮.通信系統(tǒng)原理教程[M].西安:西安電子科技大學出版社,2007.4[7]仇佩亮,陳惠芳〔等〕.數(shù)字通信根底[M].北京:電子工業(yè)出版社,2007.1[8]Proakis.數(shù)字通信原理與實踐[M].北京:科學出版社,2005.10[9]徐素妍.數(shù)字通信[M].北京:電子工業(yè)出版社,2004.7[10]趙明忠.現(xiàn)代通信系統(tǒng)導(dǎo)論[M].西安:西安電子科技大學出版社,2005.2[11]JohnG.Prooakis,劉樹棠譯.現(xiàn)代通信系統(tǒng)(MATLAB)版〔第二版〕[M].北京:電子工業(yè)出版社2005.4[12]M.S.Roden.AnalogandDigitalCommunicationSystems(ThirdEdition),PrenticehallinternationalEditions,1991[13]李白萍.數(shù)字通信原理[M].西安:西安電子科技大學出版社,2012.8[14]JohnG.ProoakisandMasoudSalehi.ContemporaryCommunication:SystemsUsingMATLABandSimulink[M].UpperSaddleRiver,N.J.:Prentice-Hall2002.[15]朱習軍,隋思漣〔等〕.MATLAB在信號與圖像處理中的應(yīng)用[M].北京:電子工業(yè)出版社2009.3[16]徐明遠,邵玉斌.MATLAB仿真在通信與電子工程中的應(yīng)用[M].西安:西安電子科技大學出版社2005.6[17]陳萍.現(xiàn)代通信實驗系統(tǒng)的計算機仿真[M].北京:國防工業(yè)出版社,2003.[18]韋崗,季飛〔等〕.通信系統(tǒng)建模與仿真[M].北京:電子工業(yè)出版社,2007.6[19]董長虹.MATLAB信號處理與應(yīng)用[M].北京:國防工業(yè)出版社,2005.1[20]傳特〔美〕著,肖明波譯.通信系統(tǒng)仿真原理與無線應(yīng)用[M].北京:機械工業(yè)出版社,2005.6[21]丁玉美,高西全〔等〕.數(shù)字信號處理[M].西安:西安電子科技大學出版社,1997[22]馬宏杰.數(shù)據(jù)通信[M].北京:北京鐵道出版社,1995.[23]王沫然..MATLAB與科學計算[M].北京:電子工業(yè)出版社2003.9[24]恩格爾〔美〕著,劉樹棠譯.數(shù)字信號處理:使用MATLAB[M].西安:西安交通大學出版社,2002.6附錄A譯文使用GNURadio的基于IEEE802.11p的傳輸摘要在本文中,我們介紹了在軟件無線電中實現(xiàn)一個功能齊全的基于IEEE802.11p協(xié)議的無線電發(fā)射機。我們描述了用于在開源GNU軟件無線電平臺(GNURadio)[1]中實現(xiàn)幀譯碼器的快速構(gòu)建模型的方法的。編碼器在生成復(fù)雜的數(shù)字基帶形式的OFDM幀同時使用USRP2[2]作為上轉(zhuǎn)換和最終傳輸數(shù)字模擬前端。由于實際編碼過程涉及到大量的復(fù)雜的步驟,我們將開發(fā)方法分為三個連續(xù)的階段。首先,從IEEE標準文檔中導(dǎo)出一個的用高級語言(MATLAB)表示的參考譯碼器;第二,MATLAB的各個塊編碼鏈逐步移植到GNURadio,在每一個步驟后反復(fù)核對和參考;最后,通過無線測量之后與商業(yè)的早期原型11p收發(fā)器進行比擬驗證標準的遵從性。產(chǎn)生的初始測量結(jié)果說明,富達GNURadio實現(xiàn)與無軟件定義的播送行業(yè)解決方案一樣都能產(chǎn)生真正的標準兼容OFDM幀。本文提供的編碼器在GPLv3已經(jīng)發(fā)布,還能夠根據(jù)編碼幀11a和11g的修正,從而使它成為為即將到來的軟件無線電工程有用的構(gòu)建塊。1介紹與相關(guān)操作IEEE802.11標準(將在2010年末完成[3])旨在為車輛提供可靠的無線通信環(huán)境。它將作為一個全球未來車到車底層協(xié)議和車到根底設(shè)施應(yīng)用程序。在物理層結(jié)構(gòu)根本相同的802.11a和802.11g:調(diào)制格式,基于正交頻分多路傳輸系統(tǒng)(OFDM)的前向糾錯(FEC)和導(dǎo)頻符號方案的結(jié)構(gòu)都是相同的。此外,802.11p使用相同的介質(zhì)訪問方案共同IEEE802.11標準,稱為載波感知多重存取與防撞(CSMA/CA)[4]。在當前版本的標準草案,對IEEE802.11p幀編碼過程不同于11a和11g只在兩個關(guān)鍵方面:操作頻帶轉(zhuǎn)移到5.9GHz和OFDM符號的持續(xù)時間從4μs翻了一倍至8μs。這些變化背后的根本原理是:首先,使用一個專用的光譜減少系統(tǒng)遺留的一局部干擾;第二,加倍字符時間也意味著循環(huán)前綴的持續(xù)時間翻倍,即降低OFDM(ISI)在戶外頻道的字符間干擾。鑒于原IEEE802.11a/g標準設(shè)計了低流動性和室內(nèi)使用,問題在于是否這兩個(小)變化足以使802.11p適合車載通信。研究團體已經(jīng)開始分析802.11p鏈路層性能通過使用仿真工具,但我們相信,只有真實的實驗可以可靠地評價在高機動情況下的魯棒性標準。由于目前缺乏商業(yè)802.11p芯片組,使用軟件無線電原型用于進行實證測量是一個有吸引力的根底。我們提出的實現(xiàn)開源的IEEE802.11p幀譯碼器GNURadio平臺和輪廓的方法在開發(fā)過程中被使用。編碼器生成復(fù)雜的數(shù)字基帶形式OFDM幀和使用USRP版本2[2]數(shù)模前端上轉(zhuǎn)換以及傳遞他們的5.9GHz的帶寬已經(jīng)分配了專用短程通信(簡稱DSRC)車輛應(yīng)用程序。基于GNURadio的編碼器作為其他通信的標準已經(jīng)公開(例如[5])。據(jù)我們所知,這是第一個實現(xiàn)的并且能夠生成和傳輸幀基于OFDM的IEEE802.11p的標準。此外,由于上述與原始標準的差異太微小,我們還能夠只通過改變兩個參數(shù)(插值因子和載波頻率)的前端來構(gòu)建的編碼器生成幀11a和11g。開發(fā)方法開發(fā)過程的第一步是使用IEEE802.11-2007年標準文檔[4]給定的詳細的編碼方案創(chuàng)立一個參考譯碼器MATLABOFDM幀,。在這中間步驟使用MATLAB的原因是它能加速開發(fā)過程。因為它在最后基于GNURadio的編碼鏈提供了一個珍貴的調(diào)試工具鏈。我們跟著編碼配方中概述(4段),通過比擬其輸出與參考框架(表881復(fù)數(shù))包含在[4,附件G],隨后驗證生成的MATLAB代碼。初步進行的實驗測量是由MATLAB的輸出保存到磁盤,使用此文件作為USRP2輸入源,同時用一個傳統(tǒng)的無線信號接收器解碼傳輸信號。在這些試運行中我們在USRP2固件互換同步和正交上變頻的過程發(fā)現(xiàn)一個錯誤。這個路由錯誤已經(jīng)被EttusResearchLLC開發(fā)者修正的最近的固件版本所更正。實驗設(shè)備的完整版本信息見表1。表1DEVELOPMENT-SYSTEM細節(jié)分布Ubuntu9.10內(nèi)核版本平臺x8664bitGNURadio的版本Python版本USRP2硬件版本號0x0301USRP2固件版本r11370子板模型XCVR2450測試時載波頻率5.88GHz(頻道176)圖1編碼過程的流向圖。由此產(chǎn)生的復(fù)雜基帶樣品隨后上轉(zhuǎn)換USRP和傳播版本2。在第二階段的開展我們逐步移植MATLAB編碼鏈GNURadio框架。一個重要目標是復(fù)用信號處理模塊盡可能在GNURadio中已經(jīng)可用。結(jié)果的表示流向圖如圖1所示。在此階段,關(guān)鍵是要明白是GNURadio區(qū)分基于流的操作和基于塊的操作。采用純粹的基于塊的MATLAB代碼的原始方法不是一個好的選擇,因為它會導(dǎo)致高度最優(yōu)化GNURadio代碼編碼過程的延遲。例如一個長幀由幾百個OFDM符號。純粹的基于塊的實現(xiàn),編碼鏈中每個函數(shù)(由圖1)在為后續(xù)生產(chǎn)輸出塊之前將需要處理整個框架。這將禁止任何并行的編碼程序,從而增加了系統(tǒng)的延遲。繞過這個問題的一個簡單的解決方案是使用GNURadio調(diào)度程序,它能夠籌劃輸入/輸出數(shù)據(jù)流處理模塊和控制所需之間的緩沖邏輯。在我們的例子中,塊流的轉(zhuǎn)換發(fā)生在符號映射塊中。這一塊的輸入是一個完整的物理層協(xié)議數(shù)據(jù)單元(PLCPDU)(塊編碼的比特在一個OFDM幀)。輸出是一個復(fù)雜的符號流(分組48元素塊是依據(jù)IEEE802.11標準使用的數(shù)據(jù)子載波的OFDM48,見表2)。根本上,處理塊,跟隨的符號映射器把一塊復(fù)雜的符號–對應(yīng)一個OFDM符號,對它們進行相應(yīng)的轉(zhuǎn)換,為了鏈的后續(xù)處理立即輸出塊。對每個OFDM符號的幀重復(fù)此過程,。然而,IEEE802.11a/g/pOFDM標準使用一個非常具體的方法插入導(dǎo)頻信息,在每個OFDM符號:被映射在四個導(dǎo)頻子載波的每個OFDM符號的復(fù)雜的符號是不同的。他們根據(jù)一個特定的二進制序列改變極性,所以駕駛儀插入函數(shù)需要知道在該幀被加工的符號。為了考慮到這些特殊的編碼規(guī)那么,我們在導(dǎo)頻插入處理塊中實現(xiàn)了內(nèi)部的全局計數(shù)器。表2IEEE802.11POFDMKEY參數(shù),根據(jù)草稿標準文檔[6]數(shù)據(jù)子載波的數(shù)量48導(dǎo)頻子載波的數(shù)目4子載波的頻率間隔156.2kHz占用帶寬8.28125MHz短序列的持續(xù)時間16μs長序列的持續(xù)時間16μs序列的保護間隔3.2μsPLCP報頭的持續(xù)時間32μs保護間隔的持續(xù)時間1.6μsOFDM符號的持續(xù)時間8μs驗證結(jié)果第三階段的開展是致力于最終質(zhì)量的全面實施,檢查〔基帶編碼器加前端〕使用空中測量。我們使用臺式電腦4GBytes的RAM和一個英特爾酷睿2雙核E8400CPU時鐘頻率為3兆赫運行了發(fā)射機的代碼。綜合1000BASE-T以太網(wǎng)接口連接到USRP2,配備了一個支持的操作頻率范圍從2.4到2.5Ghz及4.9-5.9GHz[2]的xcvr2450子板。我們的系統(tǒng)更多的細節(jié)總結(jié)在表Ⅰ中。測量活動是結(jié)合使用的各種方法進行:首先,我們使用二臺機器連接到另一個USRP2向下轉(zhuǎn)換和記錄發(fā)送信號。這樣做是為了評估得到的功率譜的形狀在發(fā)射機輸出。第二,采用與在2.4GHz的ISM頻段,我們生成的幀被正確接收的常規(guī)802.11g芯片[7]802.11g和載波頻率按照符號時間設(shè)置。第三,我們試圖通過使用早期的原型收發(fā)器進行比擬測量驗證802.11p的依從性,基于一種修改的Atheros的芯片組。該設(shè)備正在使用中的一切工程[8]和[9]請由維也納理工大學通信與射頻工程所提供應(yīng)我們。整個活動的非時變和無干擾的信道測量是在固定功率衰減器組合使用直接電纜連接的發(fā)射機和接收機單元之間建立。A.Tx功率譜形狀圖2和圖3所示的結(jié)果說明在傳輸我們GNURADIO的實現(xiàn)和產(chǎn)業(yè)的原型芯片組之間的功率譜的差異。它們對應(yīng)于輸出的FFT頻譜分析儀的工具,包括在GNURADIO的框架,在這兩種情況下的傳輸信號包括最大長度的幀,使用低傳輸為連續(xù)產(chǎn)生的增益水平防止放大器中的非線性。顯然,該GNURADIO的譜包含在fc±6MHz的,不是在Atheros的頻譜峰。此外,在主帶的邊緣頻率〔fc±4MHz〕的衰減,在fc強曲率可見。我們認為,這些缺陷的功率譜的形狀是由于版輥的上轉(zhuǎn)換處理的USRP2插值濾波器的特性。這個問題可以通過插值已經(jīng)在GNURADIO的編碼器局部和使用較低的插值因子在USRP2固定。注意圖2中GNURADIO的發(fā)射信號似乎也包含載波分量在Atheros譜fc=5.88Ghz載體似乎比其他運營商的功率小得多〔我們將在第III-C的后果〕。B.幀錯誤率與信噪比性能這兩個并排比擬發(fā)射源如圖4所示。這些測量曲線描繪的幀錯誤率的觀察〔Atheros芯片組提供了一個衡量的接收信噪比的信號強度〔RSSI〕讀出〕。大膽的曲線與FTWGNURADIO的發(fā)射機的性能,每個測量點對應(yīng)的105發(fā)送幀。雖然我們在圖2和圖3的功率譜的形狀顯然是次優(yōu)的實施說明,GNURADIO的性能方面的FER和信噪比在Atheros接收機觀察到的是在較低的發(fā)射機Atheros的差最大0.5-1db中等SNR地區(qū)。這說明該USRP2本地振蕩器漂移和相位噪聲特性與行業(yè)的芯片組。圖2的GNURADIO的實現(xiàn)功率譜,第二USRP2記錄。衰減的直接電纜連接,USRP2TX增益5dB,載波頻率fc=5880mhz。紅色的線對應(yīng)于一級頻譜掩模,在IEEE802.11p草案9標準文檔的定義。圖3基于原型Atheros的功率譜,與USRP2記錄。再次,直接電纜連接采用低增益的發(fā)射機設(shè)置組合。C.基帶信號分析然而,當使用GNURADIO的發(fā)射機在高信噪比〔在圖421dB〕Atheros接收機中開始出現(xiàn)一些意想不到的行為。例如被檢測〔但損壞〕的幀的數(shù)目超過實際發(fā)送的幀的數(shù)目和FER測量方法變得不可用〔因此失分超過圖421dB為GNURADIO的發(fā)射機〕。我們推測,這種現(xiàn)象的主要原因是虛假的排放是目前不斷的USRP2輸出盡快GNURADIO的編碼器軟件初始化子卡的硬件。它觸發(fā)了載波偵聽機制在Atheros芯片組的結(jié)果在接收器邏輯試圖同步的雜散信號和失蹤的實際幀傳輸。圖4這個數(shù)字顯示的幀錯誤率超過接收信噪比在非時變使用兩種類型的發(fā)射器,無干擾信道。在這兩種情況下,一個程式的原型是用來解碼幀計算接收信號強度〔RSSI讀數(shù)〕。一個1612bytes每幀的最大層長度,由1574bytesMSDU負荷,30個字節(jié)的MAC頭和4字節(jié)的CRC是用于測量運行在這個數(shù)字了。圖5和圖6所示的比擬證實了這一假說。復(fù)雜的數(shù)字基帶信號是由GNURADIO的編碼器發(fā)送到uspr2〔圖5〕不包含任何直流分量。經(jīng)過轉(zhuǎn)換,傳輸,接收和下變頻〔在接收端使用另一個USRP2〕的直流分量是目前全時間的發(fā)射機側(cè)USRP2活性〔圖6〕。這個問題不能適應(yīng)GNURADIO的編碼器軟件是由xcvr2450子板固定容易引起的。圖5在發(fā)送到uspr2上轉(zhuǎn)換和傳輸一幀的復(fù)基帶數(shù)字表示的大小。圖6同樣的框架如圖5在數(shù)字上變頻,傳輸,接收和數(shù)字下變頻。那是在幀突發(fā)了可見的直流分量,很可能是由缺陷引起的xcvr2450子板。結(jié)論和未來的工作我們已經(jīng)展示了一種快速原型的一個完全符合標準的OFDM幀的編碼器使用GNURADIO的框架和通用軟件無線電外設(shè)〔2版〕。由于實際的編碼過程涉及到大量復(fù)雜的處理步驟是分開的開發(fā)方法為連續(xù)的階段的關(guān)鍵。首先,在高級語言中一個參考設(shè)計是來自標準的文件。隨后,一局部的參考編碼器移植到目標平臺在一步一步地。最后基于測量的評估階段使用標準兼容的接收機完成開發(fā)過程。由此產(chǎn)生的根底是GNURADIO的發(fā)射器能夠生成OFDM幀完全符合IEEE802.11a和802.11g,802.11p標準。我們的下一個目標是在GNURADIO的實施互補OFDM幀的解碼器。該接收機與發(fā)射機實現(xiàn)合并將一個完全交互式的軟件無線電收發(fā)器,包括根本的介質(zhì)訪問的功能如載波檢測和確認幀傳輸提供依據(jù)。一個重要的目標就是盡可能地靠近嚴格的幀定時約束,需要維護,為了符合IEEE802.11標準。參考文獻[1]官方GNU軟件無線電的網(wǎng)站:///trac。[2]Ettus研究網(wǎng)站。[3]802.11線的電氣和電子工程師協(xié)會。[4]IEEE802.11-2007無線局域網(wǎng)媒體訪問控制〔MAC〕和物理層〔PHY〕規(guī)格。[5]無線局域網(wǎng)介質(zhì)訪問控制〔MAC〕和物理層〔PHY〕規(guī)格-修訂8:在車載環(huán)境中的無線接入.IEEE802.11p草案9[6]rt2500芯片設(shè)備清單[7]CVIS的工程網(wǎng)站[8]通信和射頻工程學院,維也納理工大學:.附錄B外文文獻IEEE802.11pTransmissionUsingGNURadioAbstractInthisworkwepresentanimplementationofafullyfunctionalIEEE802.11ptransmitterinsoftware-definedradio.Wedescribetherapid-prototypingmethodologythatwasusedtoimplementtheframe-encoderwithintheopen-sourceGNUSoftwareRadio(GNURadio)platform[1].TheencodergeneratesOFDMframesindigitalcomplexbase-bandrepresentationandusestheUSRP2[2]asdigital-to-analogfront-endforup-conversionandfinaltransmission.Sincetheactualencodingprocessinvolvesalargenumberofcomplexstepswesplitthedevelopmentapproachintothreesequentialstages.First,areference-encoderinahigh-levellanguage(MATLAB)isderivedfromtheIEEEstandarddocuments.Second,theindividualblocksoftheMATLABencodingchainareprogressivelyportedtoGNURadio,cross-checkingwiththereferenceaftereachstep.Finally,standardcomplianceisverifiedbyconductingcomparativeover-the-airmeasurementswithanearlyprototypeofacommercial11ptransceiver.InitialmeasurementresultsindicatethatthefidelityoftheresultingGNURadioimplementationisonparwithnon-software-definedradioindustrysolutionsandcapableofgeneratingtrulystandard-compliantOFDMframes.TheencoderpresentedherehasbeenreleasedunderGPLv3andisalsocapableofencodingframesaccordingtothe11aand11gamendments,thusmakingitavaluablebuildingblockforupcomingsoftware-definedradioprojects.I.INTRODUCTIONANDRELATEDWORKTheIEEE802.11pstandard(whichwillbefinalizedinlate2010[3])aimsatprovidingreliablewirelesscommunicationforvehicularenvironments.Itwillserveasanunderlyingprotocolforfuturecar-to-carandcar-to-infrastructureapplicationsworldwide.Atthephysicallayerithasessentiallythesamestructureas802.11aand802.11g:themodulationformat,basedonorthogonalfrequency-divisionmultiplexing(OFDM),theforward-error-correction(FEC),thestructureofthepreamble-sequencesandthepilot-symbolschemesareidentical.Furthermore,802.11pusesthesamemediumaccessschemecommontoallIEEE802.11standards,knownascarriersensingmultipleaccesswithcollisionavoidance(CSMA/CA)[4].Inthecurrentdraftversionofthestandard,theframeencodingpr

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論