電子行業(yè)專(zhuān)題報(bào)告:國(guó)產(chǎn)FPGA研究分析_第1頁(yè)
電子行業(yè)專(zhuān)題報(bào)告:國(guó)產(chǎn)FPGA研究分析_第2頁(yè)
電子行業(yè)專(zhuān)題報(bào)告:國(guó)產(chǎn)FPGA研究分析_第3頁(yè)
電子行業(yè)專(zhuān)題報(bào)告:國(guó)產(chǎn)FPGA研究分析_第4頁(yè)
電子行業(yè)專(zhuān)題報(bào)告:國(guó)產(chǎn)FPGA研究分析_第5頁(yè)
已閱讀5頁(yè),還剩105頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

總結(jié)總結(jié)低,但運(yùn)算效率逐步提高。FPGA作為專(zhuān)用集活躍在市場(chǎng)的國(guó)產(chǎn)FPGA產(chǎn)品中,多以中低密度產(chǎn)品為主,對(duì)于國(guó)內(nèi)大部分的中高低密?風(fēng)險(xiǎn)提示:半導(dǎo)體周期持續(xù)下行,貿(mào)易摩擦拉長(zhǎng)周期下行的時(shí)間;行業(yè)競(jìng)爭(zhēng)環(huán)境加?。恢圃爝^(guò)程中核心設(shè)備它是作為專(zhuān)用集成電路領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制北方華創(chuàng)華大九天微思微紀(jì)ARM芯ysVAGraphics微電晶晶光光賽靈思電電數(shù)據(jù)來(lái)源:各公司官網(wǎng)產(chǎn)品列表,方正證券芯片特性編程設(shè)計(jì)難度大編程設(shè)計(jì)難度大并行計(jì)算效率高數(shù)字信號(hào)處理/數(shù)學(xué)計(jì)算方向深度學(xué)習(xí)方向應(yīng)用市場(chǎng)自動(dòng)駕駛數(shù)據(jù)中心應(yīng)用市場(chǎng)自動(dòng)駕駛數(shù)據(jù)中心工業(yè)互聯(lián)網(wǎng)無(wú)線通信景是需要隨時(shí)升級(jí)的,與FPGA相比,ASIC的靈夠,無(wú)法跟上算法的迭代更新。因此選擇FPG自2019年開(kāi)始來(lái)自“推斷”(包括數(shù)據(jù)中心和邊緣端)架構(gòu)無(wú)法充分滿足人工智能高性能并行計(jì)算的需求,全球FPGA市場(chǎng)規(guī)模:17年67.5億輯技術(shù)、知識(shí)產(chǎn)權(quán)(IP)和技術(shù)服務(wù),合計(jì)占87%的市場(chǎng)份額;Xilinx(賽靈思)AlteraMicrosemi資料來(lái)源:芯智訊,中國(guó)產(chǎn)業(yè)信息,方正證券研究所整理2019年全球FPGAXilinx(賽靈思)AlteraMicrosemi資料來(lái)源:芯智訊,中國(guó)產(chǎn)業(yè)信息,方正證券研究所整理2019年全球FPGA的競(jìng)爭(zhēng)格局格局產(chǎn)品工藝廣泛的高級(jí)集成電路,軟件設(shè)計(jì)工具,以及作為預(yù)定義系統(tǒng)級(jí)功能的IP核/5G無(wú)線,數(shù)據(jù)中心,汽車(chē),無(wú)線通信,AI智能,工業(yè),消費(fèi)電子,醫(yī)療與科學(xué)等全世界有7500多家客戶,包20.925可編程邏輯器件,帶有軟件工具消費(fèi)電子,軍事航空,醫(yī)療,無(wú)線通信等在世界范圍內(nèi)為14000多個(gè)客戶包括百度,艾睿,駿龍,貿(mào)澤,現(xiàn)場(chǎng)可編程系統(tǒng)芯片,復(fù)雜的可編程邏輯器件,可編程混合信號(hào)產(chǎn)品,可編程數(shù)字互連器件等高性能高可靠性模擬與射頻器件,混合信號(hào)與射頻集成電路,Soc解決方案等消費(fèi)品市場(chǎng),通訊、計(jì)算汽車(chē)、衛(wèi)星、通訊等從上世紀(jì)90年代開(kāi)始,國(guó)產(chǎn)FPGA已經(jīng)經(jīng)歷了從反向設(shè)計(jì)走向開(kāi)始正向設(shè)計(jì)的時(shí)代。目市場(chǎng)的國(guó)產(chǎn)FPGA產(chǎn)品中,多以中低密度產(chǎn)品為主,對(duì)于國(guó)內(nèi)大部分的中高低構(gòu)都逃不開(kāi)LUT+布線的概念,具體到產(chǎn)品,各自側(cè)重的技術(shù)、I有針對(duì)性。如果從這個(gè)角度看來(lái),國(guó)產(chǎn)廠商在中高密度FPGA的技術(shù)水平與國(guó)際領(lǐng)先廠商相比,紫光國(guó)微復(fù)旦微電子高云半導(dǎo)體京微雅格安路科技AGM北京上海廣東北京上海上海紫光國(guó)微民用拳頭產(chǎn)品TitanPGT30G已量產(chǎn),該系列可編程芯片邏輯器件采用完全自主產(chǎn)權(quán)的體系結(jié)構(gòu)和主流先進(jìn)制造工藝,帶有DDR3和PCIe接口,是國(guó)內(nèi)少有的千萬(wàn)門(mén)級(jí)FPGA。另外采用了臺(tái)灣聯(lián)華UMC代工先進(jìn)的40nm制程,在國(guó)內(nèi)領(lǐng)先。公司目前再次研制出新一代自主知識(shí)產(chǎn)權(quán)億門(mén)級(jí)FPGA產(chǎn)品,其各類(lèi)指標(biāo)均已達(dá)國(guó)際同類(lèi)產(chǎn)品先進(jìn)水平,填補(bǔ)了國(guó)內(nèi)超大規(guī)模億門(mén)級(jí)FPGA的空白。高云半導(dǎo)體是以國(guó)產(chǎn)現(xiàn)場(chǎng)可編程邏輯芯片研發(fā)與產(chǎn)業(yè)化為核心,產(chǎn)品主要分成晨熙家族和小蜜蜂家族,2016年第一季度有順利推出國(guó)內(nèi)首顆55nm嵌入式Flash+SRAM的非易失性采用SoCFPGA的戰(zhàn)略,片上整合了DSP、Memory、MCU等單元的CME-GM7系列,試圖通過(guò)整合的優(yōu)勢(shì)打破FPGA市場(chǎng)的壁壘。該公司有兩條產(chǎn)品線:1.自身從頭研發(fā)的,面向低端市場(chǎng)的金山系列;2.收購(gòu)美國(guó)Cswitch的產(chǎn)品線,面向高速通信市場(chǎng)。安路當(dāng)前已經(jīng)形成了從小規(guī)模的CPLD到二百萬(wàn)門(mén)FPGA的系列器件,以及一顆已經(jīng)實(shí)際應(yīng)用的千萬(wàn)門(mén)級(jí)FPGAIP核。EG4是“獵鷹”系列產(chǎn)品,具有低功耗、低成本、高性能等特點(diǎn)。ELF1系列CPLD產(chǎn)品,定位低成本、低功耗、可編程CPLD市場(chǎng)AGM首家得到國(guó)內(nèi)商用市場(chǎng)認(rèn)可的國(guó)產(chǎn)FPGA供應(yīng)商,并通過(guò)三星供應(yīng)商認(rèn)證的產(chǎn)品。萊迪思、美高森美FPGA圖示在芯片內(nèi)集成大量的數(shù)字電路基本門(mén)電路,存儲(chǔ)器以及互連),PGA的優(yōu)勢(shì)FPGA限制因素FPGA限制因素實(shí)現(xiàn)同樣邏EQ\*jc3\*hps47\o\al(\s\up14(輯的),本將)EQ\*jc3\*hps47\o\al(\s\up14(G),A)EQ\*jc3\*hps47\o\al(\s\up14(A),SI)EQ\*jc3\*hps47\o\al(\s\up14(成),C)的10倍以上芯片面積比ASIC更大需要采用的專(zhuān)用工具進(jìn)行HDL編譯,再錄至FPGA中,其技術(shù)門(mén)檻非常高ASIC有強(qiáng)大的調(diào)度、管理、協(xié)調(diào)能力。應(yīng)用范圍廣。開(kāi)發(fā)方便且靈活。更適合執(zhí)行復(fù)雜的數(shù)學(xué)和幾何計(jì)算剛好與包含大量的并行運(yùn)算的人工智能可以通過(guò)硬件編程實(shí)現(xiàn)功能;在密集處理和高并發(fā)上能力上占優(yōu),而且功定制芯片成本最低,功耗低,而且適在大量數(shù)據(jù)處理上沒(méi)有GPU專(zhuān)業(yè),相對(duì)運(yùn)算量低,但功應(yīng)用過(guò)程中無(wú)法充分發(fā)揮并行計(jì)算優(yōu)勢(shì);硬件結(jié)構(gòu)固定不具備可編程性;運(yùn)行深度學(xué)習(xí)算法能效遠(yuǎn)低于基本單元的計(jì)算能力有限;速度和功耗相對(duì)專(zhuān)用定制芯片(ASIC)仍然存在不小差距;價(jià)格較為昂貴。其研發(fā)成本(開(kāi)模成本)高昂,開(kāi)發(fā)周期和驗(yàn)證周期長(zhǎng)。數(shù)據(jù)來(lái)源:ZONE畫(huà)派,賽迪顧問(wèn),方正證券研究所數(shù)據(jù)來(lái)源:ZONE畫(huà)派,賽迪顧問(wèn),方正證券研究所應(yīng)用優(yōu)勢(shì):FPGA應(yīng)用優(yōu)勢(shì):FPGA設(shè)計(jì)Vs.傳統(tǒng)設(shè)計(jì)系統(tǒng)升級(jí)利用微控制器、定制ASIC和體積龐大的電線束來(lái)引進(jìn)和控制電子系統(tǒng),不能滿足汽車(chē)升級(jí)、性能提升、上系統(tǒng)升級(jí)防篡改威脅技術(shù)汽車(chē)黑客通過(guò)調(diào)整各種汽車(chē)電子提升汽車(chē)性能,會(huì)破壞地區(qū)或國(guó)家的防篡改威脅技術(shù)安全性系統(tǒng)入侵會(huì)破壞收費(fèi)服務(wù)產(chǎn)品的授權(quán)機(jī)制;共享信息和車(chē)內(nèi)通訊使用的增加,也使汽車(chē)容易受到黑客攻安全性理想的解決方案之一是將駕駛室內(nèi)系統(tǒng)轉(zhuǎn)移到汽車(chē)上,F(xiàn)PGA是可以靈活低成本橋接元件、或用各種定制功能粘合邏輯器件;同時(shí),可采用相同系統(tǒng)為每位汽車(chē)如反熔絲FPGA一旦完成,他人便無(wú)法讀回其中的設(shè)計(jì)內(nèi)容,或者改變?nèi)魏尉幊虪顟B(tài)來(lái)調(diào)整功能,更不可能改變重要的引擎控制系統(tǒng)。保護(hù)、加密加速器、高級(jí)加密標(biāo)準(zhǔn)(AES)、安全散列算法(SHA)、篡改檢測(cè)器、物理不可復(fù)制功能):成長(zhǎng)期(2007-未來(lái)5年):工藝進(jìn)步降低研發(fā)成本,以及性能得到極大改善,對(duì)ASIC形成成熟期(未來(lái)5-10年):高性能FPGA商業(yè)模式趨于成熟,同時(shí)新型ASIC對(duì)其形成衰退期(之后由于新產(chǎn)品和大量替代品出現(xiàn),某些廠商產(chǎn)業(yè)轉(zhuǎn)移資金,導(dǎo)數(shù)據(jù)來(lái)源:EE,方正證券研究所整理利用FPGA這個(gè)平臺(tái)搭建的一個(gè)嵌入式系統(tǒng)的底利用FPGA這個(gè)平臺(tái)搭建的一個(gè)嵌入式系統(tǒng)的底推動(dòng)在深度學(xué)習(xí)中的應(yīng)用向向可編程邏輯器件應(yīng)用市場(chǎng)細(xì)分80%70%60%40%20%20142018數(shù)據(jù)來(lái)源:ICInsights,方正證券研究所整理萊迪思、美高森美A數(shù)據(jù)來(lái)源:方正證券研究所人工智能的定義人工智能的定義達(dá)特茅斯會(huì)議1956年的達(dá)特茅斯會(huì)議首次達(dá)特茅斯會(huì)議1956年的達(dá)特茅斯會(huì)議首次提出人工智能的定義:使一部機(jī)器的反應(yīng)方式像一個(gè)人在行人工智能是關(guān)于知識(shí)的學(xué)科——怎樣表示知識(shí)以及怎樣獲得知識(shí)并使用知識(shí)的學(xué)人工智能就是研究如何使計(jì)算機(jī)去做過(guò)去只有人才能做的智人工智能就是研究如何使計(jì)算機(jī)去做過(guò)去只有人才能做的智專(zhuān)注于且只能解決單個(gè)特定領(lǐng)域問(wèn)題的人工智能,存在功能上的局限能夠勝任人類(lèi)所有工作的人工智能,擁有推理、知識(shí)表示、規(guī)劃、學(xué)習(xí)、使用自然語(yǔ)言溝通和整合實(shí)現(xiàn)既定目力、智能和社交能力等每一個(gè)方面都比最強(qiáng)人類(lèi)大腦聰明的人工智能,目前尚無(wú)從技術(shù)角度探討其特點(diǎn)的可能性人工智能發(fā)展階段人工智能發(fā)展階段當(dāng)前人工智能當(dāng)前人工智能世界處于弱人工智能時(shí)代在科學(xué)創(chuàng)造在科學(xué)創(chuàng)造資料來(lái)源:《人工智能》(李開(kāi)復(fù)和王詠剛,201),人工智能的三駕馬車(chē)算法、算力和數(shù)據(jù)人工智能的三駕馬車(chē)算法、算力和數(shù)據(jù)數(shù)據(jù)數(shù)據(jù)算法算法算法算力數(shù)據(jù)AI芯片算力人工智能的發(fā)展高度依賴(lài)海量的數(shù)據(jù),由于大數(shù)據(jù)產(chǎn)業(yè)的發(fā)展,數(shù)據(jù)量呈現(xiàn)爆炸性增長(zhǎng)態(tài)勢(shì),積累了海量、多維度數(shù)據(jù),為深度學(xué)習(xí)提供從傳統(tǒng)邏輯到機(jī)器學(xué)習(xí)再到深度學(xué)習(xí),算法的演變極大的提高了人工視頻圖像類(lèi):人臉識(shí)別、目標(biāo)檢測(cè)、圖像生成等聲音語(yǔ)音類(lèi):語(yǔ)音識(shí)別、語(yǔ)音合成、語(yǔ)音喚醒等文本類(lèi):文本分析、語(yǔ)言翻譯、人機(jī)對(duì)話等神經(jīng)網(wǎng)絡(luò)互聯(lián)結(jié)構(gòu):多層感知機(jī)、卷積神經(jīng)網(wǎng)絡(luò)等神經(jīng)網(wǎng)絡(luò)算法:應(yīng)用需求驅(qū)動(dòng)理論創(chuàng)新驅(qū)動(dòng)機(jī)器學(xué)習(xí)算法:K近鄰、貝葉斯、決策樹(shù)等應(yīng)用需求驅(qū)動(dòng)理論創(chuàng)新驅(qū)動(dòng)算法優(yōu)化芯片:效能優(yōu)化,低功耗優(yōu)化,高速優(yōu)化等神經(jīng)形態(tài)芯片:芯片系統(tǒng)級(jí)結(jié)構(gòu):多核、眾核、SIMD、等開(kāi)發(fā)工具鏈:編譯器、仿真器、優(yōu)化器(量化、裁剪)等高速互聯(lián):SerDes,光互聯(lián)通信仿生器件(人工突觸,人工神經(jīng)元憶阻器新型計(jì)算器件:模擬計(jì)算,內(nèi)存計(jì)算當(dāng)前的AI技術(shù)是多層面的,貫穿了應(yīng)用、算法機(jī)理、芯片、工具鏈、器件、工藝和材料等技術(shù)層一方面,應(yīng)用和算法的快速發(fā)展,尤其是深度學(xué)習(xí)、卷積神經(jīng)網(wǎng)絡(luò)對(duì)AI芯片提出了2-3個(gè)數(shù)量級(jí)的性能優(yōu)化需求,引發(fā)了近年來(lái)AI片研發(fā)的熱潮。另一方面,新型材料、工藝和器件的迅速發(fā)展,例如3D堆疊內(nèi)存,工藝演進(jìn)等也為AI芯片提供了顯著提升性能和降低功耗的可行性。這兩類(lèi)技術(shù)進(jìn)步共未來(lái)在激光雷達(dá)、無(wú)人機(jī)、無(wú)人駕駛、智能機(jī)器人等終端設(shè)備方面對(duì)計(jì)算能力此作為人工智能的底層計(jì)算能力(芯片)存在著大機(jī)會(huì)。其中,F(xiàn)PGA是可重新AI芯片市場(chǎng)劃分AI基礎(chǔ)資源AI芯片市場(chǎng)劃分AI基礎(chǔ)資源云端終端云端終端FPGA:Intel,XilinxASIC:Google工程學(xué)方法模擬法工程學(xué)方法模擬法IPA/FPGA:賽靈思(深鑒科技)/ASIC:寒武紀(jì),地平線,華為海思,高通深度學(xué)習(xí)訓(xùn)練階段深度學(xué)習(xí)訓(xùn)練階段AI訓(xùn)練過(guò)程是指在已有數(shù)據(jù)中學(xué)深度學(xué)習(xí)推斷階段深度學(xué)習(xí)推斷階段Gocgle推斷過(guò)程則是指對(duì)新的數(shù)據(jù),GocgleAI計(jì)算規(guī)模龐大,涉及到大量訓(xùn)練包括大量的矩陣運(yùn)算,但相比較訓(xùn)練環(huán)節(jié)數(shù)據(jù)和復(fù)雜的深度神經(jīng)網(wǎng)絡(luò),目計(jì)算量較少,不僅CPU或GPU可以進(jìn)行運(yùn)前應(yīng)用最多的主要還是GPU。算,也可使用FPGA以及ASIC。的要求高,F(xiàn)PGA是低功耗異構(gòu)芯片,開(kāi)發(fā)智能高性能并行計(jì)算的需求,需要FPGA等全球AI市場(chǎng)規(guī)模及預(yù)測(cè)(十億美元)4002000201720192021202320252027中國(guó)AI芯片市場(chǎng)產(chǎn)品結(jié)構(gòu)預(yù)測(cè)(億元)2500201920202021部署;AI與智慧城市建設(shè)協(xié)同發(fā)展以及在AI服過(guò)自2019年開(kāi)始來(lái)自“推斷”(包括數(shù)據(jù)中求增長(zhǎng)將會(huì)逐漸放緩,并趨于停滯。到2021高速度低延遲5G將實(shí)現(xiàn)的應(yīng)用:高速度低延遲5G將實(shí)現(xiàn)的應(yīng)用:快的移動(dòng)網(wǎng)絡(luò)快10到20倍。從社交媒體帖子和點(diǎn)播電影到視頻通信和安全監(jiān)控?cái)z像頭,各類(lèi)視Index預(yù)測(cè),到2020年,移動(dòng)視頻流量將占所有移動(dòng)數(shù)據(jù)流量數(shù)據(jù)來(lái)源:Qorvo,方正證券研究所整理l大規(guī)模物聯(lián)網(wǎng)應(yīng)用通常由低成本、低功耗的傳感器和設(shè)備組成,可提供良好的端到端覆蓋l任務(wù)關(guān)鍵型服務(wù)定義的網(wǎng)絡(luò)切片為超可靠低延遲(uRLLC)。除無(wú)人駕駛汽車(chē)外,任務(wù)關(guān)鍵型使用案例還包括自主公共和大眾交通系統(tǒng)、無(wú)人機(jī)和其他無(wú)人駕駛飛行器、工業(yè)自動(dòng)化、遠(yuǎn)程醫(yī)療更快的服務(wù)和更好的覆蓋。eMBB使用案例為密集城市、農(nóng)村、高流動(dòng)性環(huán)境以及室內(nèi)環(huán)境提供極高的吞吐量。用戶將能夠在幾秒鐘內(nèi)下載3D視頻等數(shù)千兆字節(jié)的數(shù)據(jù),增強(qiáng)現(xiàn)實(shí)和虛擬現(xiàn)實(shí)應(yīng)用將成為現(xiàn)實(shí)。數(shù)據(jù)來(lái)源:Qorvo,方正證券研究所整理數(shù)據(jù)來(lái)源:lattice官網(wǎng),方正證券研FPGA作為RRU主芯片,站可以集中放置在中心機(jī)房?jī)?nèi),BBU射頻拉遠(yuǎn)單元大多采用專(zhuān)用芯片BBU):響應(yīng)5G通信RRU產(chǎn)品所需新特性。功能:RRU是無(wú)線基站的核心子系統(tǒng),F(xiàn)PGA高度現(xiàn)場(chǎng)可編程性解決方案的突出優(yōu)勢(shì)FPGA加速5G產(chǎn)品上市時(shí)間的分立結(jié)構(gòu)加要求可通過(guò)FPGA在軟件一、通信信號(hào)處理需求的增加對(duì)算力提出新要求一、通信信號(hào)處理需求的增加對(duì)算力提出新要求2019-2028年中國(guó)及全球5G基站(無(wú)線主設(shè)備)投資規(guī)模預(yù)測(cè)(億元)國(guó)內(nèi)市場(chǎng)空間全球市場(chǎng)空間0載需求大幅提升,預(yù)計(jì)主設(shè)備投資仍有30%增長(zhǎng)空間,未來(lái)市場(chǎng)空間約6000億。綜合保守預(yù)測(cè)5G總投資規(guī)模約為1.1萬(wàn)億,同口徑下比4G增長(zhǎng)40慮收益覆蓋成本的原則,如果數(shù)量較少的話,則不會(huì)替換;好的選擇;程度,才會(huì)發(fā)生替換,而此時(shí)FPGA已經(jīng)在市場(chǎng)上應(yīng)用2-3年了,并且技術(shù)是不斷更新的,又互聯(lián)網(wǎng)FPGA在工業(yè)互聯(lián)網(wǎng)的應(yīng)用領(lǐng)域聚焦在五個(gè)方面:工業(yè)網(wǎng)絡(luò)通信,機(jī)器視覺(jué),工業(yè)機(jī)器人,邊緣計(jì)算,工業(yè)云。這五個(gè)方面跨越了從設(shè)備終端,到工業(yè)網(wǎng)關(guān),到所謂算,再到工業(yè)云計(jì)算的多個(gè)應(yīng)用場(chǎng)景,F(xiàn)PGA在每個(gè)場(chǎng)景所體現(xiàn)的具體價(jià)值或許有所差別,但它們有一個(gè)共性:對(duì)延時(shí)敏感,對(duì)計(jì)算性能要求高??梢灶A(yù)見(jiàn),F(xiàn)PGA將成為構(gòu)建工業(yè)互互聯(lián)網(wǎng)互聯(lián)網(wǎng)算方面的價(jià)值,而FPGA對(duì)工業(yè)應(yīng)用的傳統(tǒng)互聯(lián)網(wǎng)換,I/O擴(kuò)展,運(yùn)動(dòng)控制,人機(jī)界面控制,全球工業(yè)互聯(lián)網(wǎng)平臺(tái)市場(chǎng)規(guī)模(億元)0全球工業(yè)互聯(lián)網(wǎng)產(chǎn)業(yè)規(guī)模(億美元)4000數(shù)據(jù)來(lái)源:前瞻產(chǎn)業(yè)研究院,Market互聯(lián)網(wǎng)制智能傳感器控制器控制器控制(機(jī)器人)數(shù)據(jù)中心是全球協(xié)作的特定設(shè)備網(wǎng)絡(luò),AI芯片或硬件加速芯片在數(shù)據(jù)中心的部微軟腦波項(xiàng)目數(shù)據(jù)中心與終端設(shè)備的良性循環(huán)數(shù)據(jù)中心加速主要途徑比較FPGA與CPU單位功耗性能數(shù)據(jù)來(lái)源:賽靈思,方正證券研究所整理全球數(shù)據(jù)中心及機(jī)架數(shù)量情況及預(yù)測(cè)4954904854804754704544434241402015201620172018E2019E2020E數(shù)據(jù)中心數(shù)量(萬(wàn)個(gè))機(jī)架數(shù)量(萬(wàn)架)2010年以來(lái),全球數(shù)據(jù)中心平穩(wěn)增長(zhǎng),從2017年開(kāi)始,伴隨著大型化、集約化的發(fā)展,全球數(shù)據(jù)中心數(shù)量開(kāi)始縮減。截至2017年底,全球數(shù)據(jù)中心有44.4萬(wàn)個(gè),預(yù)計(jì)2020年將減少至從部署機(jī)架來(lái)看,單機(jī)架功率快速提升,機(jī)架數(shù)小幅增長(zhǎng),2017年底全球部署機(jī)架數(shù)達(dá)到493.3萬(wàn)架,安裝服務(wù)器超過(guò)5500萬(wàn)臺(tái),預(yù)計(jì)2020年機(jī)架數(shù)將超過(guò)498萬(wàn),服務(wù)器超過(guò)數(shù)據(jù)流量大增促進(jìn)IDC需求不斷增加,進(jìn)而拉動(dòng)全球IDC投資規(guī)模的大幅上漲,至2017年全球數(shù)據(jù)中心的投資規(guī)模為240億美元,較上年同比增加211.69%。目前,全球IDC行業(yè)的發(fā)展形成了以谷歌、亞馬遜等為代表的IDC發(fā)展布局,推動(dòng)了全球數(shù)據(jù)中心業(yè)務(wù)的發(fā)展。至2017年全球IDC市場(chǎng)在云計(jì)算業(yè)務(wù)的帶動(dòng)下繼續(xù)保持較穩(wěn)定增長(zhǎng),整體市場(chǎng)份額達(dá)到534.7億美元,增速為18.3%。預(yù)計(jì)2018年全球IDC規(guī)模將維持穩(wěn)定的上升趨勢(shì),規(guī)模約為626億美元。全球IDC市場(chǎng)規(guī)模預(yù)測(cè)及同比增長(zhǎng)40016%201320142015201620172018E2019E全球IDC市場(chǎng)規(guī)模(億美元)同比增長(zhǎng)被賽靈思收購(gòu)的深鑒的業(yè)務(wù)領(lǐng)域主要集中在安防和大數(shù)據(jù)領(lǐng)域,這個(gè)領(lǐng)在賽靈思開(kāi)發(fā)者論壇(XDF)上,推出的ACAP采用異構(gòu)計(jì)算,不同賽靈思還推出的Alveo是一種用于數(shù)據(jù)中心服務(wù)器的加速卡產(chǎn)品組XilinxAlveo產(chǎn)品智能駕駛KPI需求智能駕駛KPI需求2020s’2030s’谷歌定義自動(dòng)駕駛?cè)芈?車(chē)(谷歌定義自動(dòng)駕駛?cè)芈?車(chē)(Mbps)傳輸時(shí)延(ms)程度典型系統(tǒng)傳輸時(shí)延(ms)程度典型系統(tǒng)100-駕駛輔100-駕駛輔助部分自動(dòng)化’自動(dòng)制動(dòng)、緊急避險(xiǎn)20-1002G/3G/4G’自動(dòng)制動(dòng)、緊急避險(xiǎn)20-100條件自動(dòng)化高級(jí)自動(dòng)化/全條件自動(dòng)化高級(jí)自動(dòng)化/全自動(dòng)化智能駕駛高度自動(dòng)化及全自動(dòng)化駕駛基于無(wú)線/有線的安全駕駛V2V,V2I,V2P(V2X)V2V,V2I,V2P(V2X)駕駛員輔助及部分自動(dòng)化可靠性>99.999%可靠性<可靠性>99.999%可靠性<5~10ms端到端時(shí)延保證信息化、在線導(dǎo)航、遠(yuǎn)程信息化、在線導(dǎo)航、遠(yuǎn)程路邊通信端到端時(shí)延保證路邊通信WasFoundedinMunich,September,2016在攝像頭方面,賽靈思通過(guò)Zynq7000(在全屏顯示,駕駛員監(jiān)控、拖車(chē)影像等領(lǐng)域,還有雙目攝像頭、環(huán)視系統(tǒng)等都可以找到賽靈思的產(chǎn)品應(yīng)用方針對(duì)當(dāng)今大部分汽車(chē)?yán)走_(dá)僅能確定目的距離和方位的局限性,賽靈思也在努力研發(fā)4D成像雷達(dá)。4D雷達(dá)需要大量使用同步處理流水線,賽靈思可編程架構(gòu)中可實(shí)現(xiàn)。同時(shí)由于4D雷達(dá)能充分感知周?chē)h(huán)境數(shù)據(jù),無(wú)人在ADAS激光雷達(dá)領(lǐng)域,賽靈思目前占到了90%份額以上。當(dāng)設(shè)計(jì)在變的時(shí)候,F(xiàn)PGA本身硬件和軟件都在自動(dòng)駕駛信號(hào)處理鏈自動(dòng)駕駛信號(hào)處理鏈預(yù)處理和分處理器系統(tǒng)復(fù)雜性促進(jìn)賽靈思解決方案普及針對(duì)新興汽車(chē)市場(chǎng)領(lǐng)域,作為FPGA與SoC器件的領(lǐng)導(dǎo)者,賽靈思擁有顯著優(yōu)勢(shì)的高性能、高度靈活應(yīng)變的可深鑒科技CNN解決方案概覽先進(jìn)架構(gòu)可擴(kuò)展性全棧SDK先進(jìn)架構(gòu)可擴(kuò)展性全棧SDK如今在中國(guó)市場(chǎng),賽靈思的FPGA自動(dòng)駕駛解決方案已經(jīng)被多家構(gòu)包括專(zhuān)為CNN設(shè)計(jì)的ISA,以及功能強(qiáng)大的PE陣列和靈活的數(shù)據(jù)控制流,通用于各類(lèi)神經(jīng)網(wǎng)絡(luò)且具有擴(kuò)展性,支持不同尺寸的賽靈自動(dòng)駕駛電路板會(huì)集成兩顆TeslaFSD芯片,執(zhí)行雙神經(jīng)網(wǎng)絡(luò)每顆芯片有兩個(gè)NNP,其中每個(gè)NNP有一個(gè)96x96個(gè)MAC的矩陣,32MBSRAM,工作在2GHz。所以一數(shù)據(jù)來(lái)源:eeNews,wikichip,方正證券研究所整理萊迪思、美高森美元)元)格局4242020002000800400404643400布局?jǐn)?shù)量位于全球第一,涉及1875件專(zhuān)利;日本位于不管是從市場(chǎng)角度還是專(zhuān)利角度,F(xiàn)PGA技術(shù)幾乎都被格局格局產(chǎn)品工藝廣泛的高級(jí)集成電路,軟件可編程邏輯器件,帶有軟件工具設(shè)計(jì)工具,以及作為預(yù)定義的可編程邏輯技術(shù)、知識(shí)產(chǎn)權(quán)7nm/16nm/20nm/28nm/10nm/14nm/20nm/28nm/445nm0nm/65nm/90nm/130nm現(xiàn)場(chǎng)可編程系統(tǒng)芯片,復(fù)雜的可編程邏輯器件,可編程混合信號(hào)產(chǎn)品,可編程數(shù)字互連器件等高性能高可靠性模擬與射頻器件,混合信號(hào)與射頻集成電路,Soc解決方案等5G無(wú)線,數(shù)據(jù)中心,汽車(chē),消費(fèi)品市場(chǎng),通訊、計(jì)算應(yīng)用領(lǐng)域無(wú)線通信,AI智能,工業(yè),消費(fèi)電子,軍事航空,醫(yī)療,無(wú)消費(fèi)電子,醫(yī)療與科學(xué)等線通信等全世界有60000多家客戶,在世界范圍內(nèi)為14000多個(gè)客戶包括百度,艾睿,駿龍,貿(mào)澤,賽靈思賽靈思技術(shù),通過(guò)靈活應(yīng)變的計(jì)算技術(shù)實(shí)現(xiàn)著行業(yè)的數(shù)據(jù)來(lái)源:賽靈思官網(wǎng),方正證券研究所整理開(kāi)發(fā)者工具開(kāi)發(fā)板器件開(kāi)發(fā)者工具開(kāi)發(fā)板器件公司產(chǎn)品公司產(chǎn)品系統(tǒng)級(jí)模塊(SOM)AI推斷VersalACAP頂層概念圖賽靈思個(gè)完全支持軟件編程的異構(gòu)計(jì)算平臺(tái),將標(biāo)量引廣泛的應(yīng)用,包括AI推斷、機(jī)器視覺(jué)、機(jī)器視覺(jué)賽靈思為單位的比特流的動(dòng)態(tài)倒換,讓硬件具有軟件ACAP架構(gòu)下推出兩款新產(chǎn)品ACAP架構(gòu)下推出兩款新產(chǎn)品VersalPrime系列VersalPrime系列應(yīng)用于100G到200G的網(wǎng)絡(luò)設(shè)備、數(shù)據(jù)中心的網(wǎng)絡(luò)與存儲(chǔ)加速、通信測(cè)試適用于動(dòng)態(tài)工作負(fù)載的云計(jì)算計(jì)算引擎的類(lèi)型異構(gòu)集成三種類(lèi)型的可編程引擎賽靈思標(biāo)量引擎:對(duì)于密集的計(jì)算任務(wù),能夠嵌入實(shí)時(shí)標(biāo)量引擎:對(duì)于密集的計(jì)算任務(wù),能夠嵌入實(shí)時(shí)結(jié)構(gòu),在幾毫秒內(nèi)即可完成動(dòng)態(tài)重新配置。理;集成DSP引擎能夠?qū)崿F(xiàn)浮點(diǎn)運(yùn)算和復(fù)雜的MAC工作。矢量處理單元:(例如DSP、GPU)在一組更窄的可并行計(jì)算函數(shù)集上效率更高,由于存儲(chǔ)器層級(jí)結(jié)構(gòu)不靈活,會(huì)受時(shí)延和效率的影響??删幊踢壿?(例如FPGA)可以精確地根據(jù)特定的計(jì)算功能定制,這使它們?cè)跁r(shí)延關(guān)鍵型實(shí)時(shí)應(yīng)用、和不規(guī)則數(shù)據(jù)結(jié)構(gòu)(、方面表現(xiàn)最佳,但算法的更改傳統(tǒng)上要花幾個(gè)小時(shí)來(lái)編譯,而不是幾分鐘。賽靈思賽靈思測(cè)試&測(cè)量與仿真 數(shù)據(jù)來(lái)源: 數(shù)據(jù)來(lái)源:賽靈思軟件堆棧開(kāi)發(fā)環(huán)境賽靈思軟件堆棧開(kāi)發(fā)環(huán)境賽靈思不斷擴(kuò)大的數(shù)據(jù)中心計(jì)算生態(tài)系統(tǒng)應(yīng)用、工具應(yīng)用、工具與社區(qū)云端開(kāi)發(fā)與部署(FPGA即服務(wù),F(xiàn)aaS)技術(shù)與系統(tǒng)賽靈思賽靈思賽靈思FY20收入增長(zhǎng)(千美元)賽靈思數(shù)據(jù)來(lái)源:賽靈思官網(wǎng),方正證券研究所整理賽靈思賽靈思(TME)收入趨勢(shì)提供更大的透明度將達(dá)到140億美金,通信、數(shù)據(jù)中心、汽車(chē)等賽靈思數(shù)據(jù)中心市場(chǎng)擴(kuò)張動(dòng)力AI/ML與傳統(tǒng)工作負(fù)載相交,放大了在硬件和軟件級(jí)別進(jìn)行調(diào)整的需要指數(shù)數(shù)據(jù)增長(zhǎng)推動(dòng)了對(duì)計(jì)算存儲(chǔ)/內(nèi)存的需求通信市場(chǎng)擴(kuò)張動(dòng)力爆炸帶寬需求網(wǎng)絡(luò)變得更加智能化移動(dòng)邊緣計(jì)算和電信云工業(yè)市場(chǎng)擴(kuò)張動(dòng)力IIoT工業(yè)互聯(lián)網(wǎng)的發(fā)展工業(yè)市場(chǎng)擴(kuò)張動(dòng)力全球國(guó)防預(yù)算增加現(xiàn)有的防御計(jì)劃仍在繼續(xù)汽車(chē)市場(chǎng)擴(kuò)張動(dòng)力全球?qū)Π踩妥詣?dòng)化的需求推動(dòng)了高級(jí)駕駛輔助系統(tǒng)(ADAS)對(duì)低延遲,高功效邊緣計(jì)算的需求日益增加汽車(chē)、廣播和消費(fèi)類(lèi)汽車(chē)、廣播和消費(fèi)類(lèi)加速器卡加速器卡UltraScale+系列UltraScale+系列摩爾定律失效,異構(gòu)計(jì)算興起UltraScale系列摩爾定律失效,異構(gòu)計(jì)算興起Virtex類(lèi)FPGA下一時(shí)代的計(jì)算越來(lái)越多地需要異構(gòu)性的系統(tǒng),不再像以往只需要單一的一個(gè)CPU,它還會(huì)需要很多的加速技術(shù),單一的架構(gòu)已經(jīng)無(wú)法滿足針對(duì)各種不同應(yīng)用進(jìn)行優(yōu)化的需求。數(shù)據(jù)來(lái)源:賽靈思官網(wǎng),方正證券研究所整理賽靈思賽靈思uz8nma20nuz8nma20nmu16nma7nm新的更大的潛在市場(chǎng)范圍主要是由數(shù)據(jù)中新的更大的潛在市場(chǎng)范圍主要是由數(shù)據(jù)中賽靈思l收購(gòu)事件2017年7月17日,全2017年7月17日,全l收購(gòu)動(dòng)因1.為了更好地應(yīng)對(duì)AI時(shí)代的挑戰(zhàn),實(shí)現(xiàn)賽靈思在AI時(shí)代的三大戰(zhàn)略布局:從終端到云端的推理平臺(tái)。 賽靈思賽靈思0基于GoogleNet-V1網(wǎng)絡(luò),采用深鑒科技剪枝技術(shù)的低時(shí)延CNN推斷性能是未采用該技術(shù)的1.3倍。賽靈思賽靈思英特爾英特爾公司成立于1968年,是全球最大的個(gè)人計(jì)算機(jī)零件和CPU制造商,具有52年產(chǎn)英特爾英特爾公司成立于1968年,是全球最大的個(gè)人計(jì)算機(jī)零件和CPU制造商,具有52年產(chǎn)隨著個(gè)人電腦普及,英特爾公司成為世界上最大設(shè)計(jì)和生算機(jī)工業(yè)提供建筑模塊,包括微處理器、芯片組、板卡、系統(tǒng)及軟件等。這些產(chǎn)的組成部分。英特爾公司的具體研究領(lǐng)域包括音頻/視頻信號(hào)處理和基于PC的英特爾2014-2019年Intel英特爾2014-2019年Intel營(yíng)收及同比增速8000070000600005000040000300002000007.28%5.68%-0.92%2018201920142015201620182019英特爾營(yíng)業(yè)收入(百萬(wàn)美元)12%10%8%6%4%2%0%-2%2500020000500002014-2019年2014-2019年Intel凈利潤(rùn)及同比增速20182019201420152016201720182019120%100%80%60%40%20%0%-20%%;%;英特爾l2019年英特爾拓展了?可編程加速卡(英特爾?PAC)和英特爾英特爾爾超級(jí)通道互聯(lián),英特爾傲騰技術(shù)和加速軟件l利用英特爾工程能力并與客戶和合作伙伴合作,在性能,電池壽命,l作為英特爾業(yè)務(wù)的一個(gè)重要方面,PSG部門(mén)正在加速英特爾平臺(tái)的關(guān)鍵運(yùn)算和提供高度定制化的軟硬件解決方案,并從250020000英特爾英特爾PSG部門(mén)收入及同比增速-5%-5%2016201720182019-10%20202020H1PSG占英特爾營(yíng)收比例大力發(fā)展數(shù)據(jù)中心、人工智能、汽車(chē)等領(lǐng)域;繼續(xù)發(fā)掘原有無(wú)線、工英特爾2018年4月,英特爾旗下的大力發(fā)展數(shù)據(jù)中心、人工智能、汽車(chē)等領(lǐng)域;繼續(xù)發(fā)掘原有無(wú)線、工英特爾英特爾FPGA可幫助OEM數(shù)據(jù)廠商大幅度提升性能和速度服務(wù)器集成了英特爾?富士通即將發(fā)布的也采用了英特爾FGPA的?制程--先進(jìn)的封裝解決方案在三維空間中擴(kuò)展晶體管密度,將帶來(lái)指數(shù)級(jí)提升計(jì)算密度的能力。?架構(gòu)--通過(guò)先進(jìn)的封裝和系統(tǒng)集成技術(shù),把多樣化的標(biāo)量、矢量、矩陣和空間計(jì)算架構(gòu)組合部署到CPU、GPU、加速器和FPGA芯片中,并通過(guò)可擴(kuò)展的軟件堆棧釋放強(qiáng)大的能力。?內(nèi)存--通過(guò)將閃存和傲騰技術(shù)相結(jié)合,可填補(bǔ)內(nèi)存層級(jí)中的空白,從而在更靠近硅芯片的地方提供帶寬。?超微互連--提供全面的領(lǐng)先互連產(chǎn)品,實(shí)現(xiàn)大規(guī)模的異構(gòu)計(jì)算?安全--提供安全技術(shù),幫助實(shí)現(xiàn)端到端的全面提升,并讓安全性成為關(guān)鍵的差異化因素。?軟件—提供英特爾芯片的通用工具集,使得性能指數(shù)級(jí)擴(kuò)展。英特爾將推出全新專(zhuān)門(mén)面向5G無(wú)線接入和邊緣計(jì)算的、基于10nm工藝的網(wǎng)絡(luò)系統(tǒng)芯片,研發(fā)代號(hào)"SnowRidge";使用多模5GLTE架構(gòu)的IntelXMM5G調(diào)制解調(diào)器支持全部3個(gè)毫米波頻段和6GHz以下頻段,將在2019年下半年交付給合作伙伴,并在2020年初l收購(gòu)動(dòng)因英特爾l收購(gòu)事件EQ\*jc3\*hps54\o\al(\s\up16(為),頻)英EQ\*jc3\*hps54\o\al(\s\up16(特爾許多最重要客戶值得信賴(lài)的合作伙伴),視覺(jué)和AI推理應(yīng)用提供領(lǐng)先的FPGA解決方)EQ\*jc3\*hps54\o\al(\s\up16(共),案)EQ\*jc3\*hps54\o\al(\s\up16(同為英特爾F),,加快現(xiàn)有客)EQ\*jc3\*hps54\o\al(\s\up16(PG),戶)EQ\*jc3\*hps54\o\al(\s\up16(A),的)EQ\*jc3\*hps54\o\al(\s\up16(上),上)EQ\*jc3\*hps54\o\al(\s\up16(的),市)EQ\*jc3\*hps54\o\al(\s\up16(視),速)爾公司宣布收購(gòu)2.英特爾正在轉(zhuǎn)型,爭(zhēng)奪擴(kuò)大的300億美元的市場(chǎng)機(jī)會(huì),可編程解決方案Omnitek,Omnitek市場(chǎng)估計(jì)有80億美元的機(jī)會(huì)。英特爾的許多云服務(wù)提供商,企業(yè)和嵌入式是優(yōu)化視頻和視覺(jué)客戶都在視頻和視覺(jué)相關(guān)應(yīng)用中使用FPGA。先供應(yīng)商。OmnitekFPGA客戶的上市時(shí)間,并在基于FP英特爾關(guān)于Omnitek使用使用FPGA技術(shù)代替其他技術(shù)有很大的優(yōu)勢(shì):英特爾英特爾常適合機(jī)器學(xué)習(xí)應(yīng)用,算法重新配置邏輯和DPU可以配置為CNN,未知算法和創(chuàng)新優(yōu)化英特爾英特爾?與上一代FPGA和SoC相比,功耗降低40%?通過(guò)集成節(jié)省電路板空間?使用英特爾?Quartus?Prime軟件提高工作效率并縮短英特爾英特爾能和降低功耗?!碑悩?gòu)3DSiP技術(shù),將模擬、內(nèi)存、自首款完全由英特爾獨(dú)立設(shè)計(jì)的FPGAAgilexFPGA首款完全由英特爾獨(dú)立設(shè)計(jì)的FPGAAgilexFPGA英特爾英特爾萊迪思萊迪思半導(dǎo)體公司于1983年在俄勒岡州成立,1985年在特拉華州重組。提萊迪思萊迪思半導(dǎo)體公司于1983年在俄勒岡州成立,1985年在特拉華州重組。提可編程門(mén)陣列(FPGA)、可編程邏輯器件(PLD(FPSC)、復(fù)雜的可編程邏輯器件(CPLD),可編程混合信號(hào)產(chǎn)品(ispPAC?)和可編程數(shù)字互連器件(ispGDX?)。為全球消費(fèi),通信,工業(yè),計(jì)算和汽車(chē)市場(chǎng)提供低功耗FPGA,視頻ASSPpanasonic人臉識(shí)別、關(guān)鍵詞檢測(cè)人臉識(shí)別、關(guān)鍵詞檢測(cè)智能家居、智慧城市神經(jīng)網(wǎng)絡(luò)編譯器神經(jīng)網(wǎng)絡(luò)編譯器19922003萊迪思萊迪思從營(yíng)收結(jié)構(gòu)看,通信和計(jì)算領(lǐng)域、手機(jī)和消費(fèi)電子以及工業(yè)和汽車(chē)共占營(yíng)收的90%以 按應(yīng)用領(lǐng)域劃分的產(chǎn)品營(yíng)收按地理區(qū)域劃分的產(chǎn)品營(yíng)收2014至2020年?duì)I收(千美元)2014至2020年凈利潤(rùn)(千美元)萊迪思2014至2020年?duì)I收(千美元)2014至2020年凈利潤(rùn)(千美元)萊迪思 2014年至2020年毛利率和凈利率2014年至2020年研發(fā)費(fèi)用及其占比800006000040%15%4000010%200005%02014201520162017201820192020研發(fā)費(fèi)用(千美元)研發(fā)費(fèi)用收入占比美高森美在業(yè)內(nèi)久負(fù)盛名,因?yàn)樵摴臼敲绹?guó)國(guó)防軍工FPGA器件頂級(jí)供應(yīng)商,每ARMCortex-M3,安全性經(jīng)過(guò)驗(yàn)證美高森美營(yíng)收近年來(lái)呈上升趨勢(shì),主要由微控制器、存儲(chǔ)設(shè)備和科技授權(quán) 2014年至2020年?duì)I收(百萬(wàn)美元)2014年至2020年?duì)I收(百萬(wàn)美元)2014年至2020年毛利率和凈利率2014至2020年扣非后歸母凈利潤(rùn)(百萬(wàn)美元)2014至2020年扣非后歸母凈利潤(rùn)(百萬(wàn)美元)2014年至2020年研發(fā)費(fèi)用及其占比900800400018%16%14%12%10%8%6%4%2%0%2014201520162017201820192020研發(fā)費(fèi)用(百萬(wàn)美元)研發(fā)費(fèi)用收入占比萊迪思、美高森美國(guó)產(chǎn)FPGA國(guó)產(chǎn)FPGA公司概覽()安全與識(shí)別芯片;非揮發(fā)存儲(chǔ)器;智能電表芯片;FPGA芯片;件低成本功耗可編程CPLD市國(guó)產(chǎn)FPGA國(guó)產(chǎn)FPGA公司概覽(二)主要產(chǎn)品公司特點(diǎn)布局領(lǐng)域提供集設(shè)計(jì)軟件、IP核、參照設(shè)計(jì)、開(kāi)發(fā)板、定編譯軟件開(kāi)始,兼容切入現(xiàn)有FPGA軟件的生場(chǎng)技術(shù)門(mén)檻:FPGA開(kāi)發(fā)難度大,需要最先進(jìn)的制造封測(cè)工藝,國(guó)內(nèi)低制程與國(guó)外相差懸殊,且I替代化市場(chǎng)空間:2018年全球FPGA市場(chǎng)約60億美元,替代化2013-2025年全球FPGA市場(chǎng)規(guī)模(百萬(wàn)美元)激勵(lì):伴隨政策扶持,中國(guó)應(yīng)用領(lǐng)域需求、新興基礎(chǔ)設(shè)施積極展開(kāi),同時(shí),國(guó)內(nèi)研發(fā)人才2013-2025年全球FPGA市場(chǎng)規(guī)模(百萬(wàn)美元)40000數(shù)據(jù)來(lái)源:MRFR,電子發(fā)燒友,方正證券復(fù)旦微是一家從事超大規(guī)模集成電路的設(shè)計(jì)、開(kāi)發(fā)、測(cè)試,并為客電子證照、移動(dòng)支付、防偽溯源、智能手機(jī)、安防監(jiān)控、工業(yè)控制安全識(shí)別芯片智能電表芯片非揮發(fā)性存儲(chǔ)器安全識(shí)別芯片智能電表芯片非揮發(fā)性存儲(chǔ)器專(zhuān)用模擬電路北斗導(dǎo)航?智能電表專(zhuān)用MCU、低功耗MCU、電力線載波等?EEPROM、SPINORFlash、專(zhuān)用NVM存儲(chǔ)器等?漏電保護(hù)器專(zhuān)用電路、電話機(jī)通話電路、照明汽摩電子電路等?北斗實(shí)時(shí)時(shí)鐘電路芯片JFM7202等數(shù)據(jù)來(lái)源:上海復(fù)旦微公司官網(wǎng),方正證券研究所整理復(fù)旦微是國(guó)內(nèi)FPGA領(lǐng)域技術(shù)較為領(lǐng)先的公司之一,目前已可提供千萬(wàn)門(mén)級(jí)FPGA芯片、FPGA芯片以及嵌入式可編程器件(PSoC)共三個(gè)系列的產(chǎn)品。復(fù)旦微的億門(mén)級(jí)FP于28nm工藝制程,采用業(yè)內(nèi)先進(jìn)的CMOS工藝,是國(guó)內(nèi)最早研制成功的億門(mén)級(jí)FP億門(mén)級(jí)FPGA系列億門(mén)級(jí)FPGA系列采用了全新的億門(mén)級(jí)FPGA創(chuàng)新架構(gòu),并集成了專(zhuān)用超高速串并轉(zhuǎn)換模塊、高靈活可配置模塊、專(zhuān)用數(shù)字信號(hào)處理模塊高速內(nèi)部存儲(chǔ)模塊、可配置時(shí)鐘模塊等適用億門(mén)FPGA應(yīng)用的模填補(bǔ)國(guó)內(nèi)超大規(guī)模億門(mén)級(jí)FPGA的空白,可滿足我國(guó)對(duì)國(guó)防、航空、航天、通信、醫(yī)療等領(lǐng)域FPGA器件的迫切需求。主要面向5G通信、視頻圖像處理、工業(yè)控制以及各類(lèi)消費(fèi)電子市場(chǎng)等的需求。支持安全性更高的位流加配套開(kāi)發(fā)EDA軟件PROCISETM全過(guò)程自主研發(fā)數(shù)據(jù)來(lái)源:上海復(fù)旦微公司官網(wǎng),方正證券研究所整理可編程PSoC產(chǎn)品,該產(chǎn)品采用28nm工藝制程,內(nèi)嵌大容量自有eFPGA模塊,并配置APU和多個(gè)AI加速引擎,可廣泛用于高速通信、信號(hào)處理、圖像處理、工業(yè)控制等應(yīng)用領(lǐng)域。億門(mén)級(jí)芯片產(chǎn)品和PSoC芯片產(chǎn)品的成功研發(fā)加速提升了我國(guó)高性能、高性價(jià)比可編程器數(shù)據(jù)來(lái)源:上海復(fù)旦微公司招股說(shuō)明書(shū),方正證券研究所整理公司所處集成電路行業(yè)的產(chǎn)業(yè)鏈由集成電路設(shè)計(jì)、晶圓制造封裝測(cè)試等環(huán)節(jié)構(gòu)成晶圓生產(chǎn)線及封裝測(cè)試生產(chǎn)線分為兩種經(jīng)營(yíng)模式:IDM模式和垂直分工模式。上海案,而將晶圓制造、封裝測(cè)試環(huán)節(jié)等交由專(zhuān)業(yè)的外協(xié)廠商完成。由于只專(zhuān)注于公司整體業(yè)務(wù)流程IC產(chǎn)業(yè)鏈經(jīng)營(yíng)模式公司整體業(yè)務(wù)流程只負(fù)責(zé)芯片的電路設(shè)計(jì)與銷(xiāo)售;將生產(chǎn)、測(cè)試、封裝等環(huán)節(jié)外包。資產(chǎn)較輕,初始投資規(guī)模小,創(chuàng)業(yè)難度相對(duì)較小;企業(yè)運(yùn)行費(fèi)用較低,轉(zhuǎn)型相對(duì)靈活。數(shù)據(jù)來(lái)源:上海復(fù)旦微公司招股說(shuō)明書(shū),方正證券研究2018年增長(zhǎng)4,361.71萬(wàn)元,主要系公司在智能電表芯片市場(chǎng)實(shí)現(xiàn)了較高收占比看,近五年中國(guó)大陸營(yíng)收占比均在85%以上,有輕微升降;海外營(yíng)收較2017-2020H1分地區(qū)營(yíng)收2017-2020H1主營(yíng)業(yè)務(wù)營(yíng)收2017-2020H1分地區(qū)營(yíng)收8642020172018設(shè)計(jì)及銷(xiāo)售集成電路(億元20172018設(shè)計(jì)及銷(xiāo)售集成電路(億元)集成電路測(cè)試服務(wù)(億元)86420201920192020Half其他地區(qū)(億元)中國(guó)大陸及香港(億元)數(shù)據(jù)來(lái)源:上海復(fù)旦微公司招股說(shuō)明書(shū),方正證券研究公司研發(fā)費(fèi)用占營(yíng)業(yè)收入的比例高于同行業(yè)可比公司均值,主要由于:1)公司作為集成電路研發(fā)都將增加公司的研發(fā)投入;3)公司技術(shù)儲(chǔ)備強(qiáng),一直以來(lái)承接國(guó)家各類(lèi)專(zhuān)項(xiàng)課2017-2020H1公司毛利率情況2017-2020H1研發(fā)費(fèi)用情況與同行業(yè)可比公司相比,公司注重產(chǎn)品技術(shù)研發(fā),憑借公司長(zhǎng)期積累的關(guān)鍵良好的性能,獲得高端用戶的認(rèn)可,取得了較好的品牌溢價(jià),使2017-2020H1公司毛利率情況2017-2020H1研發(fā)費(fèi)用情況90%80%70%60%50%40%30%20%10%2020Half2017主營(yíng)業(yè)務(wù)毛利率20182020Half2017主營(yíng)業(yè)務(wù)毛利率綜合毛利率其他業(yè)務(wù)毛利率綜合毛利率654321020172018研發(fā)費(fèi)用(億元)2019202020172018研發(fā)費(fèi)用(億元)占營(yíng)業(yè)收入的比例數(shù)據(jù)來(lái)源:上海復(fù)旦微公司招股說(shuō)明書(shū),方正證券研45%40%35%30%25%20%15%10%5%0%公司專(zhuān)注于集成電路芯片設(shè)計(jì)開(kāi)發(fā)業(yè)務(wù),是國(guó)內(nèi)領(lǐng)先的集成電路芯片產(chǎn)品和解智能安全芯片、高穩(wěn)定存儲(chǔ)器芯片、安全自主FPGA、功率半導(dǎo)體器件、超穩(wěn)晶體頻率器件等核心智能安全芯片業(yè)務(wù)特種集成電路業(yè)務(wù)?特種微處理器、特種可編程器件、特種存儲(chǔ)器存儲(chǔ)器芯片業(yè)務(wù)?存儲(chǔ)器芯片業(yè)務(wù)?DRAM存儲(chǔ)器芯片等半導(dǎo)體功率器件業(yè)務(wù)?半導(dǎo)體功率器件業(yè)務(wù)?500V-1200V高壓超結(jié)MOSFET、IGTO、SIC等可重構(gòu)系統(tǒng)芯片業(yè)務(wù)晶體器件業(yè)務(wù)?可重構(gòu)系統(tǒng)芯片業(yè)務(wù)晶體器件業(yè)務(wù)?Titan系列FPGA、Logos系列FPGA等??石英晶體元器件需求的推動(dòng)下,我國(guó)集成電路行業(yè)面臨良好發(fā)展機(jī)遇,紫光國(guó)微近兩年來(lái)發(fā)展勢(shì)頭相當(dāng)迅猛,2015至2019營(yíng)收(億元)2015-2019年歸母凈利潤(rùn)及增速數(shù)據(jù)來(lái)源:紫光國(guó)微公司年報(bào),方正證券研研發(fā)經(jīng)驗(yàn),承接了多項(xiàng)FPGA領(lǐng)域國(guó)家科技重大專(zhuān)項(xiàng)“核高基”課題,擁有近20流先進(jìn)制造工藝,帶有DDR3和PCIe接口,是國(guó)內(nèi)少有的千萬(wàn)門(mén)級(jí)FPGA。另外采用了臺(tái)灣紫光同創(chuàng)公司成立北京分公司成立中國(guó)誕生了第一款自主知識(shí)產(chǎn)權(quán)300萬(wàn)門(mén)級(jí)Titan系列PGT30G發(fā)布公司正式更名為深圳市紫光同創(chuàng)電子有限公司最具潛質(zhì)獎(jiǎng)”被認(rèn)定為國(guó)家高新技術(shù)布;公司通過(guò)ISO9001:2015質(zhì)量管理體系認(rèn)證聯(lián)合國(guó)內(nèi)知名的FPGA方電子)共同推出國(guó)產(chǎn)入Titan系列是中國(guó)第一款國(guó)產(chǎn)自主產(chǎn)權(quán)千萬(wàn)門(mén)通信網(wǎng)絡(luò)、信息安全、數(shù)據(jù)中心、工業(yè)控制等領(lǐng)域。適用領(lǐng)域:產(chǎn)品特性:算術(shù)處理單元(APM)數(shù)據(jù)來(lái)源:紫光同創(chuàng)官網(wǎng),方正證券研究所整理

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論