微機(jī)原理及應(yīng)用課件chap1-微機(jī)原理概述和數(shù)制_第1頁(yè)
微機(jī)原理及應(yīng)用課件chap1-微機(jī)原理概述和數(shù)制_第2頁(yè)
微機(jī)原理及應(yīng)用課件chap1-微機(jī)原理概述和數(shù)制_第3頁(yè)
微機(jī)原理及應(yīng)用課件chap1-微機(jī)原理概述和數(shù)制_第4頁(yè)
微機(jī)原理及應(yīng)用課件chap1-微機(jī)原理概述和數(shù)制_第5頁(yè)
已閱讀5頁(yè),還剩149頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、1,微機(jī)原理及應(yīng)用,2,課程目標(biāo) 微機(jī)原理是學(xué)習(xí)和掌握微機(jī)硬件知識(shí)和匯編語(yǔ)言程序設(shè)計(jì)的入門課程: 微型計(jì)算機(jī)的基本工作原理 匯編語(yǔ)言程序設(shè)計(jì) 微型計(jì)算機(jī)接口技術(shù) 目的:建立微型計(jì)算機(jī)系統(tǒng)的整體概念,形成微機(jī)系統(tǒng)軟硬件開發(fā)的初步能力。,3,教學(xué)內(nèi)容 第一章 基礎(chǔ)知識(shí) 第二章 微型計(jì)算機(jī)基礎(chǔ) 第三章 8086/8088 CPU的指令系統(tǒng) 第四章 匯編語(yǔ)言程序設(shè)計(jì) 第五章 存儲(chǔ)器系統(tǒng) 第六章 輸入輸出 第七章 中斷技術(shù) 第八章 常用接口電路,4,第1章 微型計(jì)算機(jī)基礎(chǔ),5,主要內(nèi)容:,微型機(jī)的構(gòu)成及工作原理 8088/8086 CPU的結(jié)構(gòu)及工作原理 系統(tǒng)總線 各種常用記數(shù)制和編碼以及它們相互間的轉(zhuǎn)

2、換; 二進(jìn)制數(shù)的算術(shù)運(yùn)算和邏輯運(yùn)算; 符號(hào)數(shù)的表示及補(bǔ)碼運(yùn)算; 二進(jìn)制數(shù)運(yùn)算中的溢出問題,6,1.1 微型機(jī)的基本結(jié)構(gòu),掌握: 微機(jī)系統(tǒng)的基本組成 微型機(jī)的工作原理 微機(jī)8088的存儲(chǔ)器組織,7,1.1 概 述,電子計(jì)算機(jī)的發(fā)展: 電子管計(jì)算機(jī)(1946-1956) 晶體管計(jì)算機(jī)(1957-1964) 中小規(guī)模集成電路計(jì)算機(jī)(1965-1970) 超大規(guī)模集成電路計(jì)算機(jī)(1971-今) 電子計(jì)算機(jī)按其性能分類: 大中型計(jì)算機(jī)/巨型計(jì)算機(jī)(Mainframe Computer) 小型計(jì)算機(jī)(Minicomputer) 微型計(jì)算機(jī)(Microcomputer) 單片計(jì)算機(jī)(Single-Chip

3、Microcomputer) 微型計(jì)算機(jī)的核心:微處理器(中央處理器CPU) Intel CPU的發(fā)展見下頁(yè)表,8,9,一、微型計(jì)算機(jī)系統(tǒng)組成,微型計(jì)算機(jī)系統(tǒng)的三個(gè)層次 微處理器(Microprocessor) 微型計(jì)算機(jī)(Microcomputer) 微型計(jì)算機(jī)系統(tǒng)(Microcomputer System),10,微型計(jì)算機(jī)系統(tǒng)的三個(gè)層次,11,核心級(jí)微處理器,微處理器簡(jiǎn)稱CPU,是計(jì)算機(jī)的核心,主要包括: 運(yùn)算器ALU 控制器CU 寄存器組Registers CPU實(shí)現(xiàn)了運(yùn)算功能和控制功能,12,硬件系統(tǒng)級(jí)微型計(jì)算機(jī),以微處理器為核心,配上只讀存儲(chǔ)器(ROM)、讀寫存儲(chǔ)器(RAM)、輸入

4、/輸出(I/O)接口電路及系統(tǒng)總線等部件,就構(gòu)成了微型計(jì)算機(jī)。 將CPU、存儲(chǔ)器、I/O接口、總線等集成在一片超大規(guī)模集成電路芯片上,稱為單片微型計(jì)算機(jī),簡(jiǎn)稱單片機(jī)。,13,系統(tǒng)級(jí),以微型計(jì)算機(jī)為中心,配以相應(yīng)的外圍設(shè)備以及控制微型計(jì)算機(jī)工作的軟件,就構(gòu)成了完整的微型計(jì)算機(jī)系統(tǒng)。 微型計(jì)算機(jī)如果不配有軟件,通常稱為裸機(jī) 軟件分為系統(tǒng)軟件和應(yīng)用軟件兩大類。,14,二、微型計(jì)算機(jī)的基本結(jié)構(gòu),微處理器(CPU) 存儲(chǔ)器 輸入/輸出接口 總線,1. 微型計(jì)算機(jī)的硬件系統(tǒng),15,微型計(jì)算機(jī)的概念結(jié)構(gòu),存 儲(chǔ) 器,I/O 接 口,輸 入 設(shè) 備,I/O 接 口,地址總線 AB,輸 出 設(shè) 備,C P U,

5、數(shù)據(jù)總線 DB,控制總線 CB,I/O 接 口,AB: Address Bus DB: Data Bus CB: Control Bus,16,主機(jī)硬件系統(tǒng)CPU,計(jì)算機(jī)的控制中心,提供運(yùn)算、判斷能力 構(gòu)成:ALU、CU、Registers(p29) 例:Intel 8088/8086、PIII、P4、Celeron AMD K7(Athlon、Duron) CPU的位數(shù):4位、8位、16位、32位、64位 是指一次能處理的數(shù)據(jù)的位數(shù),17,主機(jī)硬件系統(tǒng)存儲(chǔ)器,存放程序和數(shù)據(jù)的記憶裝置 用途:存放程序和要操作的各類信息(數(shù)據(jù)、文字、圖像、。) 內(nèi)存:ROM、RAM 特點(diǎn):隨機(jī)存取,速度快,容量

6、小 外存:磁盤、光盤、半導(dǎo)體盤、 特點(diǎn):順序存取/塊存取,速度慢,容量大,18,有關(guān)內(nèi)存儲(chǔ)器的幾個(gè)概念,內(nèi)存單元的地址和內(nèi)容 內(nèi)存容量 內(nèi)存的操作 內(nèi)存的分類,19,內(nèi)存單元的地址和內(nèi)容,內(nèi)存包含有很多存儲(chǔ)單元(每個(gè)內(nèi)存單元包含8bit),為區(qū)分不同的內(nèi)存單元,對(duì)計(jì)算機(jī)中的每個(gè)內(nèi)存單元進(jìn)行編號(hào),內(nèi)存單元的編號(hào)就稱為內(nèi)存單元的地址。,1 0 1 1 0 1 1 0,38F04H,內(nèi)存單 元地址,內(nèi)存單 元內(nèi)容,. . .,. . .,Bit 7 6 5 4 3 2 1 0,0 1 0 1 1 0 0 0,*內(nèi)存單元有時(shí)又稱為地址單元,20,內(nèi)存容量,即內(nèi)存單元的個(gè)數(shù),以字節(jié)為單位。 注意:內(nèi)存空

7、間與內(nèi)存容量的區(qū)別 內(nèi)存容量:實(shí)際配置的內(nèi)存大小。例:某微機(jī)配置2條 128MB的SDRAM內(nèi)存條,其內(nèi)存容量為256MB 內(nèi)存空間:又稱為存儲(chǔ)空間、尋址范圍,是指微機(jī)的 尋址能力,與CPU的地址總線寬度有關(guān)。,21,內(nèi)存操作,讀:將內(nèi)存單元的內(nèi)容取入CPU,原單元內(nèi)容不改變; 寫:CPU將信息放入內(nèi)存單元,單元中原內(nèi)容被覆蓋; 刷新:對(duì)CPU透明,僅動(dòng)態(tài)存儲(chǔ)器有此操作 內(nèi)存的讀寫的步驟為: CPU把要讀寫的內(nèi)存單元的地址放到AB上 若是寫操作, CPU緊接著把要寫入的數(shù)據(jù)放到DB上 CPU發(fā)出讀寫命令 數(shù)據(jù)被寫入指定的單元或從指定的單元讀出到DB 若是讀操作, CPU緊接著從DB上取回?cái)?shù)據(jù),

8、22,內(nèi)存儲(chǔ)器的分類,讀寫存儲(chǔ)器(RAM) 可讀可寫 易失性,臨時(shí)存放程序和數(shù)據(jù) 只讀存儲(chǔ)器(ROM) 工作時(shí)只能讀 非易失性,永久或半永久性存放信息,23,主機(jī)硬件系統(tǒng)輸入/輸出接口,簡(jiǎn)寫為I/O接口,是CPU與外部設(shè)備間的橋梁,CPU,I/O 接口,外設(shè),24,接口的功能,提供驅(qū)動(dòng)外設(shè)的電壓或電流; 匹配計(jì)算機(jī)與外設(shè)之間的信號(hào)電平、速度、信號(hào)類型、數(shù)據(jù)格式等; 緩存發(fā)給外設(shè)的數(shù)據(jù)、控制命令和外設(shè)提供的運(yùn)行狀態(tài)信息; DMA控制和中斷控制。,25,主機(jī)硬件系統(tǒng)總線BUS,連接多個(gè)功能部件的一組公共信號(hào)線 地址總線AB:用來(lái)傳送CPU輸出的地址信號(hào),確定被訪問的存儲(chǔ)單元、I/O端口。地址線的根

9、數(shù)決定了CPU的尋址范圍。 CPU的尋址范圍 = 2n, n-地址線根數(shù) 數(shù)據(jù)總線DB:在CPU與存儲(chǔ)器、I/O接口之間數(shù)據(jù)傳送的公共通路。數(shù)據(jù)總線的條數(shù)決定CPU一次最多可以傳送的數(shù)據(jù)寬度。 控制總線CB:用來(lái)傳送各種控制信號(hào),26,2. 微型計(jì)算機(jī)的軟件系統(tǒng),軟件:為運(yùn)行、管理和維護(hù)計(jì)算機(jī)系統(tǒng)或?yàn)閷?shí)現(xiàn)某一功能而編寫的各種程序的總和及其相關(guān)資料。,系統(tǒng)軟件,應(yīng)用軟件,操作系統(tǒng) 編譯系統(tǒng) 網(wǎng)絡(luò)系統(tǒng) 工具軟件,軟件,27,3.微型計(jì)算機(jī)的物理結(jié)構(gòu),CPU,北橋,南橋,RAM,Cache,AGP,CRT,BIOS,KBD,Mouse 串行/并行接口 HDD/CDROM(IDE) FDD USB,P

10、CI,ISA,前端總線/CPU總線,接口卡,外設(shè),總線擴(kuò)展槽,28,微型計(jì)算機(jī)的物理結(jié)構(gòu),29,INTEL 845GE,30,主板的主要硬件構(gòu)成,CPU插座 芯片組(南北橋/HUB) 內(nèi)存插槽 高速緩存(現(xiàn)已集成到CPU內(nèi)部) 系統(tǒng)BIOS,硬件控制 CMOS,存放硬件配置參數(shù) 總線擴(kuò)展槽,PCI、ISA 串行、并行接口 軟/硬盤、光驅(qū)插座,31,芯片組,CPU的外圍控制芯片,通常為2片 兩種架構(gòu):南北橋、HUB(加速中心) 南北橋 北橋提供CPU/主存/高速緩存的連接、AGP接口、PCI橋接 南橋提供USB、IDE(FDD/HDD)、串/并口及ISA橋接等 例如:Intel 440BX、VI

11、A694(KT133)+686B、SiS 645等 HUB GMCHAGP接口、存儲(chǔ)器通道 ICHPCI橋接、IDE控制器、USB、串/并口 FWH系統(tǒng)BIOS、顯示BIOS、隨機(jī)數(shù)發(fā)生器 例如:Intel 810、Intel 815、Intel845等,32,三、計(jì)算機(jī)的工作過(guò)程,存儲(chǔ)程序計(jì)算機(jī)又稱為馮諾依曼型計(jì)算機(jī) 以運(yùn)算器為核心、以存儲(chǔ)程序原理為基礎(chǔ) 將計(jì)算過(guò)程描述為由許多條指令按一定順序組成的程序,即程序是由多條有邏輯關(guān)系的指令組成,指令的長(zhǎng)度不等(一般為14字節(jié)) 數(shù)據(jù)和程序均以二進(jìn)制代碼的形式不加區(qū)別地存放在存儲(chǔ)器中,存放位置由地址指定,地址碼也是二進(jìn)制形式 由控制器控制整個(gè)程序和

12、數(shù)據(jù)的存取以及程序的執(zhí)行,指令驅(qū)動(dòng),33,存儲(chǔ)程序計(jì)算機(jī)的工作原理,控制器按預(yù)先存放在計(jì)算機(jī)存儲(chǔ)器中的程序的流程自動(dòng)地連續(xù)取出指令并執(zhí)行之。,運(yùn)算器,輸出設(shè)備,控制器,輸入設(shè)備,存儲(chǔ)器,指令流,控制命令,數(shù)據(jù)流,34,程序的執(zhí)行過(guò)程,程序,指令1,指令2,指令3,指令4,指令n, ,取指令,指令譯碼,取操作數(shù),執(zhí)行指令,存結(jié)果,指令周期,操作碼,操作數(shù),執(zhí)行,1。CPU如何知道從哪里取出程序的第一條指令?操作系統(tǒng) 2。CPU如何按程序控制流執(zhí)行指令?程序計(jì)數(shù)器 3。CPU如何知道從哪里取操作數(shù)?地址、尋址方式,35,例:計(jì)算5+8(p35),匯編語(yǔ)言程序?qū)?yīng)的機(jī)器指令 對(duì)應(yīng)的操作 - - -

13、MOV AL, 5 10110000 將立即數(shù)1傳送到累加寄存器AL中 00000101 ADD AL, 8 00000100 計(jì)算兩個(gè)數(shù)的和,結(jié)果存放到AL中 00001000 HLT 11110100 停機(jī),指令執(zhí)行過(guò)程見下頁(yè)圖,36,指令執(zhí)行過(guò)程(取指/譯碼/執(zhí)行),累加器A,加法器,數(shù)據(jù)寄存器DR,指令寄存器IR,指令譯碼器ID,時(shí)序邏輯電路,時(shí)序控制信號(hào)(控制命令),1011 0000,0000 0101,0000 0100,0000 1000,1111 0100,內(nèi)部總線,存儲(chǔ)器,0 1 2 3 4,程序計(jì)數(shù)器PC,地址,MOV A, 5 ADD A, 8 HLT,地址總線,地 址

14、 譯 碼 器,讀寫控制電路,1011 0000,鎖存,輸出,地址寄存器AR,37,1.2 計(jì)算機(jī)中的數(shù)制,了解 特點(diǎn); 表示方法; 相互間的轉(zhuǎn)換。,38,一、常用記數(shù)制,十進(jìn)制符合人們的習(xí)慣 二進(jìn)制便于物理實(shí)現(xiàn) 十六進(jìn)制便于識(shí)別、書寫 八進(jìn)制,39,1. 十進(jìn)制,特點(diǎn):以十為底,逢十進(jìn)一; 共有0-9十個(gè)數(shù)字符號(hào)。 表示:,40,2. 二進(jìn)制,特點(diǎn):以2為底,逢2進(jìn)位; 只有0和1兩個(gè)符號(hào)。 表示:,41,3. 十六進(jìn)制,特點(diǎn):以16為底,逢16進(jìn)位; 有0-9及A-F共16個(gè)數(shù)字符號(hào)。 表示:,42,進(jìn)位計(jì)數(shù)制的一般表示,一般地,對(duì)任意一個(gè)K進(jìn)制數(shù)S都可表示為,其中: Si - S的第i位數(shù)

15、碼,可以是K個(gè)符號(hào)中任何一個(gè); n,m 整數(shù)和小數(shù)的位數(shù); K - 基數(shù); Ki - K進(jìn)制數(shù)的權(quán),43,如何區(qū)分不同進(jìn)位記數(shù)制的數(shù)字,在數(shù)字后面加一個(gè)字母進(jìn)行區(qū)分: 二進(jìn)制:數(shù)字后面加B, 如1001B 八進(jìn)制:數(shù)字后面加O, 如1001O 十進(jìn)制:一般不加, 如1001 十六進(jìn)制:數(shù)字后面加H , 如1001H 在明顯可以區(qū)分其記數(shù)制的情況下,可以省略數(shù)字后面的字母,44,二、各種數(shù)制間的轉(zhuǎn)換,1. 非十進(jìn)制數(shù)到十進(jìn)制數(shù)的轉(zhuǎn)換 按相應(yīng)進(jìn)位計(jì)數(shù)制的權(quán)表達(dá)式展開,再按十進(jìn)制求和。 例:10110010B = (?)10 13FAH = (?)10,45,2. 十進(jìn)制到非十進(jìn)制數(shù)的轉(zhuǎn)換,十進(jìn)制

16、二進(jìn)制的轉(zhuǎn)換: 整數(shù)部分:除2取余; 小數(shù)部分:乘2取整。 十進(jìn)制 十六進(jìn)制的轉(zhuǎn)換: 整數(shù)部分:除16取余; 小數(shù)部分:乘16取整。 以小數(shù)點(diǎn)為起點(diǎn)求得整數(shù)和小數(shù)的各個(gè)位。,46,3. 二進(jìn)制與十六進(jìn)制間的轉(zhuǎn)換,用4位二進(jìn)制數(shù)表示1位十六進(jìn)制數(shù) 例: 10110001001.110 = (?)H 0101 1000 1001.1100 5 8 9 . C 注意:位數(shù)不夠時(shí)要補(bǔ)0,47,1.3 無(wú)符號(hào)二進(jìn)制數(shù)的運(yùn)算,無(wú)符號(hào)數(shù) 算術(shù)運(yùn)算 有符號(hào)數(shù) 邏輯運(yùn)算,48,一、無(wú)符號(hào)數(shù)的運(yùn)算,算術(shù)運(yùn)算 包括: 加法運(yùn)算 減法運(yùn)算 乘法運(yùn)算 除法運(yùn)算,49,1. 規(guī)則,加法:1+1=0(有進(jìn)位), 減法:0-

17、1=1(有借位), 乘除法: 一個(gè)數(shù)乘以2相當(dāng)于該數(shù)左移一位;除以2則相當(dāng)于該數(shù)右移1位。,50,例:,000010110100=00101100B 000010110100=00000010B11B 即: 商=00000010B 余數(shù)=00000011B,51,2. 無(wú)符號(hào)數(shù)的表示范圍,一個(gè)n位的無(wú)符號(hào)二進(jìn)制數(shù)X,其表示范圍為 0 X 2n-1 若運(yùn)算結(jié)果超出這個(gè)范圍,則產(chǎn)生溢出。 (或者說(shuō)運(yùn)算結(jié)果超出n位,則產(chǎn)生溢出) 判別方法: 運(yùn)算時(shí),當(dāng)最高位向更高位有進(jìn)位(或 借位)時(shí)則產(chǎn)生溢出。,52,例:,11111111 + 00000001 1 00000000 結(jié)果超出位(最高位有進(jìn)位),

18、發(fā)生溢出。(結(jié)果為256,超出位二進(jìn)制數(shù)所能表示的范圍255),53,3. 邏輯運(yùn)算,與()、或()、非() 、異或() 特點(diǎn):按位運(yùn)算,無(wú)進(jìn)借位 運(yùn)算規(guī)則 . 例:A=10110110, B=01101011 求:AB, AB, AB,54,4. 邏輯門,邏輯門:完成邏輯運(yùn)算的電路 掌握: 與、或、非門邏輯符號(hào)和邏輯關(guān)系(真值表); 與非門、或非門的應(yīng)用。,55,與門(AND Gate),Y = AB,注:基本門電路僅完成1位二進(jìn)制數(shù)的運(yùn)算,56,或門(OR Gate),Y = AB,Y,A B,57,非門(NOT Gate),1,A,Y,58,異或門(eXclusive OR Gate),

19、Y = AB,Y,A B,59,5. 譯碼器,74LS138譯碼器:,譯碼輸出,譯碼輸入,譯碼使能,60,74LS138真值表,61,1.4 帶符號(hào)二進(jìn)制數(shù)的運(yùn)算,計(jì)算機(jī)中的帶符號(hào)二進(jìn)制數(shù) 把二進(jìn)制數(shù)的最高位定義為符號(hào)位 符號(hào)位為 0 表示正數(shù),符號(hào)位為 1 表示負(fù)數(shù) 連同符號(hào)位一起數(shù)值化了的數(shù),稱為機(jī)器數(shù)。 機(jī)器數(shù)所表示的真實(shí)的數(shù)值,稱為真值。 (在以下講述中,均以位二進(jìn)制數(shù)為例),62,例:,+52 = +0110100 = 0 0110100 符號(hào)位數(shù)值位 -52 = -0110100 = 1 0110100,真值,機(jī)器數(shù),63,1. 符號(hào)數(shù)的表示,對(duì)于符號(hào)數(shù),機(jī)器數(shù)常用的表示方法有原碼

20、、反碼和補(bǔ)碼三種。數(shù)X的原碼記作X原,反碼記作X反,補(bǔ)碼記作X補(bǔ)。 注意:對(duì)正數(shù),三種表示法均相同。 它們的差別在于對(duì)負(fù)數(shù)的表示。,64,原碼X原,定義 符號(hào)位:0表示正,1表示負(fù); 數(shù)值位:真值的絕對(duì)值。,65,原碼的例子,真值,X=+18=+0010010,X=-18=-0010010,原碼,X原,=0 0010010,X原,=1 0010010,符號(hào),符號(hào)位,n位原碼表示數(shù)值的范圍是 對(duì)應(yīng)的原碼是1111 0111。,66,數(shù)0的原碼,8位數(shù)0的原碼:+0 = 0 0000000 - 0 = 1 0000000 即:數(shù)0的原碼不唯一。,67,反碼X反,定義 若X0 ,則 X反=X原 若X

21、0, 則 X反= 對(duì)應(yīng)原碼的符號(hào)位 不變,數(shù)值部分按位求反,68,例:,X= - 52 = -0110100 X原 = 10110100 X反 = 11001011,69,反碼的例子,真值,X=+18=+0010010,X=-18=-0010010,反碼,X反,=0 0010010,X反,=1 1101101,符號(hào),符號(hào)位,n位反碼表示數(shù)值的范圍是 對(duì)應(yīng)的反碼是1000 0111。,70,0的反碼:,+0反 = 00000000 -0反 = 11111111 即:數(shù)0的反碼也不是唯一的。,71,補(bǔ)碼,定義: 若X0, 則X補(bǔ)= X反= X原 若X0, 則X補(bǔ)= X反+1,72,例:,X= 52

22、= 0110100 X原 = 10110100 X反 = 11001011 X補(bǔ) = X反+1=11001100,n位補(bǔ)碼表示數(shù)值的范圍是 對(duì)應(yīng)的補(bǔ)碼是1000 0111。,73,0的補(bǔ)碼:,+0補(bǔ)= +0原=00000000 -0補(bǔ)= -0反+1=11111111+1 =1 00000000 對(duì)8位字長(zhǎng),進(jìn)位被舍掉 +0補(bǔ)= -0補(bǔ)= 00000000,74,特殊數(shù)10000000,該數(shù)在原碼中定義為: -0 在反碼中定義為: -127 在補(bǔ)碼中定義為: -128 對(duì)無(wú)符號(hào)數(shù):(10000000) = 128,75,8位有符號(hào)數(shù)的表示范圍:,對(duì)8位二進(jìn)制數(shù): 原碼: -127 +127 反碼

23、: -127 +127 補(bǔ)碼: -128 +127 想一想:16位有符號(hào)數(shù)的表示范圍是多少?,76,2. 有符號(hào)二進(jìn)制數(shù)與十進(jìn)制的轉(zhuǎn)換,對(duì)用補(bǔ)碼表示的二進(jìn)制數(shù): 1)求出真值 2)進(jìn)行轉(zhuǎn)換,77,例:,將一個(gè)用補(bǔ)碼表示的二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)。 1) X補(bǔ) = 0 0101110B 真值為:+0101110B 正數(shù) 所以:X=+46 2) X補(bǔ) = 1 1010010B 負(fù)數(shù) X = X補(bǔ)補(bǔ) = 11010010補(bǔ) = - 0101110B 所以:X = - 46,78,3. 補(bǔ)碼加減法的運(yùn)算規(guī)則,通過(guò)引進(jìn)補(bǔ)碼,可將減法運(yùn)算轉(zhuǎn)換為加法運(yùn)算。規(guī)則如下: X+Y補(bǔ)=X補(bǔ)+Y補(bǔ) X-Y補(bǔ)=X補(bǔ)- Y

24、補(bǔ) 其中X,Y為正負(fù)數(shù)均可,符號(hào)位參與運(yùn)算。,79,*補(bǔ)碼的運(yùn)算原理,模(module)就是一個(gè)計(jì)數(shù)系統(tǒng)的最大容量。例如,鐘表的模為12,8位二進(jìn)制數(shù)的模為28。 凡是用器件進(jìn)行的運(yùn)算都是有模運(yùn)算,運(yùn)算結(jié)果超過(guò)模的部分會(huì)被運(yùn)算器自動(dòng)丟棄。因此,當(dāng)器件為n位時(shí),有 X=2n+X (mod 2n) 不難驗(yàn)證, X補(bǔ)=2n+X (mod 2n) 因此, XY補(bǔ)= 2n + (XY) (mod 2n) = (2n+X) + (2n Y) (mod 2n) = X補(bǔ)+ Y補(bǔ),80,例:,X=-0110100,Y=+1110100,求X+Y補(bǔ) X原=10110100 X補(bǔ)= X反+1=11001100 Y

25、補(bǔ)= Y原=01110100 所以: X+Y補(bǔ)= X補(bǔ)+ Y補(bǔ) =11001100+01110100 =01000000,81,4. 符號(hào)數(shù)運(yùn)算中的溢出問題,進(jìn)(借)位 在加法過(guò)程中,符號(hào)位向更高位產(chǎn)生進(jìn)位; 在減法過(guò)程中,符號(hào)位向更高位產(chǎn)生借位。 溢出 運(yùn)算結(jié)果超出運(yùn)算器所能表示的范圍。,82,溢出的判斷方法,方法: 同號(hào)相減或異號(hào)相加不會(huì)溢出。 同號(hào)相加或異號(hào)相減可能溢出: 兩種情況: 同號(hào)相加時(shí),結(jié)果符號(hào)與加數(shù)符號(hào)相反溢出; 異號(hào)相減時(shí),結(jié)果符號(hào)與減數(shù)符號(hào)相同溢出。 方法: 兩個(gè)8位帶符號(hào)二進(jìn)制數(shù)相加或相減時(shí),若 C7C61, 則結(jié)果產(chǎn)生溢出。 C7為最高位的進(jìn)(借)位;C為次高位的進(jìn)(

26、借)位。,83,例:,有符號(hào)數(shù)運(yùn)算,有溢出表示結(jié)果是錯(cuò)誤的 無(wú)符號(hào)數(shù)運(yùn)算,有進(jìn)位表示結(jié)果是錯(cuò)誤的,1 0 1 1 0 1 0 1 + 1 0 0 0 1 1 1 1 1 0 1 0 0 0 1 0 0,0 1 0 0 0 0 1 0 + 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1,0 1 0 0 0 0 1 0 + 1 1 0 0 1 1 0 1 1 0 0 0 0 1 1 1 1,CASE1:,CASE2:,CASE3:,84,1.5 二進(jìn)制編碼,一、十進(jìn)制數(shù)的表示BCD碼 用4位二進(jìn)制數(shù)表示一位十進(jìn)制數(shù)。有兩種表示法:壓縮BCD碼和非壓縮BCD碼。 壓縮BCD碼的每一位

27、用4位二進(jìn)制表示,00001001表示09,一個(gè)字節(jié)表示兩位十進(jìn)制數(shù)。 非壓縮BCD碼用一個(gè)字節(jié)表示一位十進(jìn)制數(shù),高4位總是0000,低4位的00001001表示09。,85,計(jì)算機(jī)中除了能夠處理數(shù)值數(shù)據(jù)以外,還可以處理文字、語(yǔ)音、圖像等各種信息,這些信息統(tǒng)稱為非數(shù)值數(shù)據(jù)。 非數(shù)值數(shù)據(jù)在計(jì)算機(jī)中也必須以二進(jìn)制形式表示,非數(shù)值數(shù)據(jù)的表示本質(zhì)上是編碼的過(guò)程。 最常用的數(shù)據(jù)編碼:美國(guó)標(biāo)準(zhǔn)信息交換代碼(American Standard Code for Information Interchange, ASCII碼) (見下頁(yè)ASCII編碼表,教材中的附錄A),二、非數(shù)值數(shù)據(jù)的表示,86,ASCII

28、碼美國(guó)標(biāo)準(zhǔn)信息交換代碼,87,ASCII碼,采用7位二進(jìn)制代碼對(duì)字符進(jìn)行編碼 數(shù)字09的編碼是01100000111001,它們的高3位均是011,后4位正好與其對(duì)應(yīng)的二進(jìn)制代碼(BCD碼)相符。 英文字母AZ的ASCII碼從1000001(41H)開始順序遞增,字母az的ASCII碼從1100001(61H)開始順序遞增,這樣的排列對(duì)信息檢索十分有利。 最高位通??倿?,有時(shí)也用作奇偶校驗(yàn)位。,88,1.6 計(jì)算機(jī)中常用數(shù)據(jù)單位,bit 1Mb=10241024bit=220bit 1Gb=230bit=1024Mb 1Tb=240bit=1024Gb Byte 1 Byte=8bit,1K

29、B=1024 Byte, Word 表示字長(zhǎng),有1bit, 4bit, 8bit, 16bit等, 一般情況下為2Byte(16bit)。,89,第1章難點(diǎn),補(bǔ)碼的概念及其運(yùn)算 有符號(hào)數(shù)運(yùn)算的溢出問題,90,作業(yè),習(xí)題一4(1)(3),5(2),6(4),8(1)(3),第一章完,謝謝,92,93,2.2 8088微處理器,主要內(nèi)容: 8088CPU外部引線及功能; 8088CPU的內(nèi)部結(jié)構(gòu)和特點(diǎn); 各內(nèi)部寄存器的功能; 8088的工作時(shí)序。,94,一、概述,8088、8086基本類似 16位CPU、AB寬度20位 差別: 指令預(yù)取隊(duì)列:8088為4字節(jié),8086為6字節(jié) 數(shù)據(jù)總線引腳:808

30、8有8根,8086有16根 8088為準(zhǔn)16位CPU,內(nèi)部DB為16位,但外部?jī)H為8位,16位數(shù)據(jù)要分兩次傳送 本課程主要介紹8088(IBM PC采用),95,指令預(yù)取隊(duì)列(IPQ),指令的一般執(zhí)行過(guò)程: 取指令 指令譯碼 讀取操作數(shù) 執(zhí)行指令 存放結(jié)果,96,串行工作方式:,8088以前的CPU采用串行工作方式: 1) CPU訪問存儲(chǔ)器(存取數(shù)據(jù)或指令)時(shí)要等待總線操作的完成 2) CPU執(zhí)行指令時(shí)總線處于空閑狀態(tài) 缺點(diǎn):CPU無(wú)法全速運(yùn)行 解決:總線空閑時(shí)預(yù)取指令,使CPU需要指令時(shí)能立刻得到,取指令 1,執(zhí)行 1,取操 作數(shù)2,執(zhí)行 2,CPU,BUS,忙碌,忙碌,忙碌,忙碌,存結(jié)果

31、1,取指令 2,97,并行工作方式:,8088CPU采用并行工作方式,取指令2,取操作數(shù),BIU,存結(jié)果,取指令3,取操作數(shù),取指令4,執(zhí)行1,執(zhí)行2,執(zhí)行3,EU,BUS,忙碌,忙碌,忙碌,忙碌,忙碌,忙碌,98,8088的流水線操作,8088 CPU包括兩大部分:EU和BIU BIU不斷地從存儲(chǔ)器取指令送入IPQ,EU不斷地從IPQ取出指令執(zhí)行 EU和BIU構(gòu)成了一個(gè)簡(jiǎn)單的2工位流水線 指令預(yù)取隊(duì)列IPQ是實(shí)現(xiàn)流水線操作的關(guān)鍵(類似于工廠流水線的傳送帶) 新型CPU將一條指令劃分成更多的階段,以便可以同時(shí)執(zhí)行更多的指令 例如,PIII為14個(gè)階段,P4為20個(gè)階段(超級(jí)流水線),99,結(jié)論

32、,指令預(yù)取隊(duì)列的存在使EU和BIU兩個(gè)部分可同時(shí)進(jìn)行工作,從而帶來(lái)了以下兩個(gè)好處: 提高了CPU的效率 降低了對(duì)存儲(chǔ)器存取速度的要求,100,8088/8086 CPU的特點(diǎn),采用并行流水線工作方式 對(duì)內(nèi)存空間實(shí)行分段管理: 每段大小為16B64KB 用段地址和段內(nèi)偏移實(shí)現(xiàn)對(duì)1MB空間的尋址 設(shè)置地址段寄存器指示段的首地址 支持多處理器系統(tǒng); 片內(nèi)無(wú)浮點(diǎn)運(yùn)算部件,浮點(diǎn)運(yùn)算由數(shù)學(xué)協(xié)處理器8087支持(或用軟件模擬) 注:80486DX以后的CPU已將數(shù)學(xué)協(xié)處理器作為標(biāo)準(zhǔn)部件集成到CPU內(nèi)部,101,8088CPU的兩種工作模式,8088可工作于兩種模式: 最小模式和最大模式 最小模式為單處理機(jī)模

33、式,控制信號(hào)較少,一般可不必外接總線控制器。 最大模式為多處理機(jī)模式,控制信號(hào)較多,CPU必須通過(guò)總線控制器與總線相連。,102,二、8088 CPU的引線及功能,引腳定義的方法可大致分為: 每個(gè)引腳只傳送一種信息(RD等); 引腳電平的高低不同的信號(hào)(IO/M等); CPU工作于不同方式有不同的名稱和定義(WR/LOCK 等); 分時(shí)復(fù)用引腳(AD7 AD0 等) ; 引腳的輸入和輸出分別傳送不同的信息(RQ/GT等)。,103,主要引線(最小模式下),8088是工作在最小還是最大模式由MN/MX端狀態(tài)決定:MN/MX=0時(shí)工作于最大模式,反之工作于最小模式。 數(shù)據(jù)信號(hào)線(DB)與地址信號(hào)線

34、(AB): AD7AD0:三態(tài),地址/數(shù)據(jù)復(fù)用線。ALE有效時(shí)為地 址的低8位。地址信號(hào)有效時(shí)為輸出,傳送 數(shù)據(jù)信號(hào)時(shí)為雙向。 A19A16:三態(tài),輸出。高4位地址信號(hào),與狀態(tài)信號(hào) S6-S3分時(shí)復(fù)用。 A15A8 :三態(tài),輸出。輸出8位地址信號(hào)。,104,主要的控制和狀態(tài)信號(hào),WR: 三態(tài),輸出。寫命令信號(hào); RD: 三態(tài),輸出。讀命令信號(hào); IO/M:三態(tài),輸出。指出當(dāng)前訪問的是存儲(chǔ)器還是I/O接 口。高:I/O接口,低:內(nèi)存 DEN:三態(tài),輸出。低電平時(shí),表示DB上的數(shù)據(jù)有效; RESET:輸入,為高時(shí),CPU執(zhí)行復(fù)位; ALE: 三態(tài),輸出。高:AB地址有效; DT/ R:三態(tài),輸出。

35、數(shù)據(jù)傳送方向,高:CPU輸出, 低:CPU輸入,105,例:,當(dāng)WR=1,RD=0,IO/M=0時(shí),表示CPU當(dāng)前正在進(jìn)行讀存儲(chǔ)器操作。,106,READY信號(hào)(輸入):,用于協(xié)調(diào)CPU與存儲(chǔ)器、I/O接口之間的速度差異 READY信號(hào)由存儲(chǔ)器或I/O接口發(fā)出。,READY=0時(shí),CPU就在T3后插入TW周期,插入的TW個(gè)數(shù)取決于READY何時(shí)變?yōu)楦唠娖健?107,中斷請(qǐng)求和響應(yīng)信號(hào),INTR:輸入,可屏蔽中斷請(qǐng)求輸入端。 高:有INTR中斷請(qǐng)求 NMI:輸入,非屏蔽中斷請(qǐng)求輸入端。 低高,有NMI中斷請(qǐng)求 INTA:輸出,對(duì)INTR信號(hào)的響應(yīng)。,108,總線保持信號(hào),HOLD:總線保持請(qǐng)求信

36、號(hào)輸入端。當(dāng)CPU 以外的其他設(shè)備要求占用總線時(shí), 通過(guò)該引腳向CPU發(fā)出請(qǐng)求。 HLDA:輸出,對(duì)HOLD信號(hào)的響應(yīng)。為高 電平時(shí),表示CPU已放棄總線控制 權(quán),所有三態(tài)信號(hào)線均變?yōu)楦咦锠?態(tài)。,109,三、8088CPU的內(nèi)部結(jié)構(gòu),8088內(nèi)部由兩部分組成: 執(zhí)行單元(EU) 總線接口單元(BIU),110,執(zhí)行單元EU,功能: 執(zhí)行指令 從指令隊(duì)列中取指令代碼 譯碼 在ALU中完成數(shù)據(jù)的運(yùn)算 運(yùn)算結(jié)果 的特征保存在標(biāo)志寄存器FLAGS中。,111,執(zhí)行單元包括,算術(shù)邏輯單元(運(yùn)算器) 8個(gè)通用寄存器 1個(gè)標(biāo)志寄存器 EU部分控制電路,112,總線接口單元BIU,功能: 從內(nèi)存中取指令送入

37、指令預(yù)取隊(duì)列 負(fù)責(zé)與內(nèi)存或輸入/輸出接口之間的數(shù)據(jù)傳送 在執(zhí)行轉(zhuǎn)移程序時(shí),BIU使指令預(yù)取隊(duì)列復(fù)位,從指定的新地址取指令,并立即傳給執(zhí)行單元執(zhí)行。,113,8088的內(nèi)部寄存器,含14個(gè)16位寄存器,按功能可分為三類 8個(gè)通用寄存器 4個(gè)段寄存器 2個(gè)控制寄存器,114,通用寄存器,數(shù)據(jù)寄存器(AX,BX,CX,DX) 地址指針寄存器(SP,BP) 變址寄存器(SI,DI),115,數(shù)據(jù)寄存器,8088含4個(gè)16位數(shù)據(jù)寄存器,它們又可分為8個(gè)8位寄存器,即: AX AH,AL BX BH,BL CX CH,CL DX DH,DL 常用來(lái)存放參與運(yùn)算的操作數(shù)或運(yùn)算結(jié)果,116,數(shù)據(jù)寄存器特有的習(xí)

38、慣用法,AX:累加器。多用于存放中間運(yùn)算結(jié)果。所有 I/O指令必須都通過(guò)AX與接口傳送信息; BX:基址寄存器。在間接尋址中用于存放基地址; CX:計(jì)數(shù)寄存器。用于在循環(huán)或串操作指令中存 放循環(huán)次數(shù)或重復(fù)次數(shù); DX:數(shù)據(jù)寄存器。在32位乘除法運(yùn)算時(shí),存放 高16位數(shù);在間接尋址的I/O指令中存放 I/O端口地址。,117,地址指針寄存器,SP:堆棧指針寄存器,其內(nèi)容為棧頂?shù)?偏移地址; BP:基址指針寄存器,常用于在訪問內(nèi) 存時(shí)存放內(nèi)存單元的偏移地址。,118,BX與BP在應(yīng)用上的區(qū)別,作為通用寄存器,二者均可用于存放數(shù)據(jù); 作為基址寄存器,BX通常用于尋址數(shù)據(jù)段;BP則通常用于尋址堆棧段。

39、 BX一般與DS或ES搭配使用,119,變址寄存器,SI:源變址寄存器 DI:目標(biāo)變址寄存器 變址寄存器常用于指令的間接尋址或變址尋址。特別是在串操作指令中,用SI存放源操作數(shù)的偏移地址,而用DI存放目標(biāo)操作數(shù)的偏移地址。,120,段寄存器,用于存放邏輯段的段基地址(邏輯段的概念后面將要介紹) CS:代碼段寄存器 代碼段用于存放指令代碼 DS:數(shù)據(jù)段寄存器 ES:附加段寄存器 數(shù)據(jù)段和附加段用來(lái)存放操作數(shù) SS:堆棧段寄存器 堆棧段用于存放返回地址,保存寄存器內(nèi)容, 傳遞參數(shù),121,控制寄存器,IP:指令指針寄存器,其內(nèi)容為下一條 要執(zhí)行的指令的偏移地址 FLAGS:標(biāo)志寄存器 狀態(tài)標(biāo)志:存

40、放運(yùn)算結(jié)果的特征 控制標(biāo)志:控制某些特殊操作 6個(gè)狀態(tài)標(biāo)志位(CF,SF,AF,PF,OF,ZF) 3個(gè)控制標(biāo)志位(IF,TF,DF),122,四、存儲(chǔ)器尋址,物理地址 8088:20根地址線,可尋址220(1MB)個(gè)存儲(chǔ)單元 CPU送到AB上的20位的地址稱為物理地址,123,物理地址,物理地址,. . 60000H 60001H 60002H 60003H 60004H . . .,12H,F0H,1BH,08H,存儲(chǔ)器的操作完全基于物理地址。 問題: 8088的內(nèi)部總線和內(nèi)部寄存器均為16位,如何生成20位地址? 解決:存儲(chǔ)器分段,124,存儲(chǔ)器分段,高地址,低地址,段基址,段基址,段基

41、址,段基址,最大64KB,最小16B,段i-1,段i,段i+1,125,邏輯地址,段基地址和段內(nèi)偏移組成了邏輯地址 段地址 偏移地址(偏移量) 格式為:段地址:偏移地址 物理地址=段基地址16+偏移地址,60002H,00H,12H,60000H,0 0 0 0,段基地址(16位),段首地址, , ,偏移地址=0002H,126,BIU中的地址加法器用來(lái)實(shí)現(xiàn)邏輯地址到物理地址的變換 8088 可同時(shí)訪問4個(gè)段,4個(gè)段寄存器中的內(nèi)容指示了每個(gè)段的基地址,段基址,段內(nèi)偏移,物理地址,+,16位,20位,0000,127,例:,已知CS=1055H,DS=250AH,ES=2EF0H,SS=8FF0

42、H, DS段有一操作數(shù),其偏移地址=0204H, 1)畫出各段在內(nèi)存中的分布 2)指出各段首地址 3)該操作數(shù)的物理地址=?,解: 各段分布及段首址見右圖所示。 操作數(shù)的物理地址為: 250AH10H+0204H = 252A4H,128,堆棧及堆棧段的使用,內(nèi)存中一個(gè)按FILO方式操作的特殊區(qū)域 每次壓棧和退棧均以WORD為單位 SS存放堆棧段地址,SP存放段內(nèi)偏移,SS:SP構(gòu)成了堆棧指針 堆棧用于存放返回地址、過(guò)程參數(shù)或需要保護(hù)的數(shù)據(jù) 常用于響應(yīng)中斷或子程序調(diào)用,129,堆棧操作,SP,SS,SS,壓棧前,退棧后,高,低,低,高,高,12H,SS,F0H,SP,壓棧后,低,高,SP,SP

43、,SP,F0H,12H,SP,130,例:,若已知(SS)=1000H (SP)=2000H 則堆棧段的段首地址=? 棧頂?shù)刂?? 若現(xiàn)在把1234H送入堆 棧,則它所在的存儲(chǔ)單元 地址=? 若該段最后一個(gè)單元 地址為2FFFH,則棧底 地址=?,段首,棧底,棧頂,堆 棧 段,131,五、時(shí)序,時(shí)序的概念:CPU各引腳信號(hào)在時(shí)間上的關(guān)系。 總線周期:CPU完成一次訪問內(nèi)存(或接口)操作 所需要的時(shí)間。 一個(gè)總線周期至少包括4個(gè)時(shí)鐘周期。 時(shí)鐘周期:由時(shí)鐘發(fā)生器產(chǎn)生。是計(jì)算機(jī)內(nèi)部最 小的時(shí)間單位,用Ti表示。 (總線周期的時(shí)序參見教材p51),132,2.3 系統(tǒng)總線,主要內(nèi)容: 總線的基本概念

44、和分類; 總線的工作方式; 常用系統(tǒng)總線標(biāo)準(zhǔn)。,133,一、概述,總線: 是一組導(dǎo)線和相關(guān)的控制、驅(qū)動(dòng)電路的集合。是計(jì)算機(jī)系統(tǒng)各部件之間傳輸?shù)刂?、?shù)據(jù)和控制信息的公共通道。,地址總線(AB) 數(shù)據(jù)總線(DB) 控制總線(CB),134,總線結(jié)構(gòu)的優(yōu)點(diǎn),簡(jiǎn)化系統(tǒng)設(shè)計(jì)(模塊化) 提高兼容性 便于擴(kuò)充升級(jí) 便于維修 減低生產(chǎn)成本,135,總線分類,CPU總線:CPU 其他部件 系統(tǒng)總線:主機(jī)I/O接口 外部總線:微機(jī)外設(shè),片內(nèi)總線 片外總線,按相對(duì) CPU的位置,按層次 結(jié)構(gòu),136,總線結(jié)構(gòu),單總線結(jié)構(gòu) 簡(jiǎn)單,但總線競(jìng)爭(zhēng)嚴(yán)重,137,多總線結(jié)構(gòu),面向CPU的雙總線結(jié)構(gòu) 面向主存的雙總線結(jié)構(gòu),雙總線

45、結(jié)構(gòu) 多總線結(jié)構(gòu),138,多總線結(jié)構(gòu)(續(xù)),教材p55 圖2-23:面向CPU的雙總線結(jié)構(gòu) 把需要很高帶寬的主存儲(chǔ)器用存儲(chǔ)總線單獨(dú)與CPU相連 問題:外設(shè)到主存的數(shù)據(jù)傳輸必須通過(guò)CPU, 傳輸效率低,無(wú)法實(shí)現(xiàn)DMA傳輸 圖2-24:面向主存的雙總線結(jié)構(gòu) 主存儲(chǔ)器即與CPU直接連接,又與系統(tǒng)總線連接,較好地解決了上述問題,139,二、總線技術(shù)*,總線傳輸需要解決的問題: 傳輸同步協(xié)調(diào)通信雙方的傳輸操作 同步、異步、半同步 總線仲裁消除多個(gè)設(shè)備同時(shí)使用總線造成的沖突現(xiàn)象 Master查詢,Slave獨(dú)立請(qǐng)求 出錯(cuò)處理 信號(hào)驅(qū)動(dòng),140,同步方式,同步傳輸用公共的時(shí)鐘統(tǒng)一 各部件數(shù)據(jù)發(fā)送和接收的時(shí)機(jī)

46、 異步傳輸用控制和狀態(tài)信號(hào)協(xié)調(diào) 各部件數(shù)據(jù)發(fā)送和接收的時(shí)機(jī) 半同步用公共的時(shí)鐘統(tǒng)一 控制和狀態(tài)信號(hào)的產(chǎn)生時(shí)機(jī)(即控制和狀態(tài)信號(hào)與時(shí)鐘是同步的),但數(shù)據(jù)發(fā)送和接收的時(shí)機(jī)仍不固定,141,總線仲裁,用來(lái)決定某一時(shí)刻哪一個(gè)部件可以使用總線 集中控制統(tǒng)一由總線控制器進(jìn)行控制 分散控制總線控制由各部件共同實(shí)現(xiàn),所有部件均按統(tǒng)一的規(guī)則來(lái)訪問總線,142,總線仲裁集中控制,1)鏈?zhǔn)讲樵儯╬59圖2-28)基本原理是: 部件提出申請(qǐng)(BR) 總線控制器發(fā)出批準(zhǔn)信號(hào)(BG) 提出申請(qǐng)的部件截獲BG,并禁止BG信號(hào)進(jìn)一步向后傳播 提出申請(qǐng)的部件發(fā)出總線忙信號(hào)(BS),開始使用總線??偩€忙信號(hào)將阻止其他部件使用總線,直到使用總線的設(shè)備釋放總線 電路最簡(jiǎn)單,但

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論