5255094074電磁爐單片機(jī)控制系統(tǒng)的設(shè)計(jì)_第1頁
5255094074電磁爐單片機(jī)控制系統(tǒng)的設(shè)計(jì)_第2頁
5255094074電磁爐單片機(jī)控制系統(tǒng)的設(shè)計(jì)_第3頁
5255094074電磁爐單片機(jī)控制系統(tǒng)的設(shè)計(jì)_第4頁
5255094074電磁爐單片機(jī)控制系統(tǒng)的設(shè)計(jì)_第5頁
已閱讀5頁,還剩54頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、畢業(yè)設(shè)計(jì)(論文) 課 題 名 稱 電磁爐單片機(jī)控制系統(tǒng)的設(shè)計(jì) 學(xué) 生 姓 名 學(xué) 號(hào) 系、年級(jí)專業(yè) 信息工程系、電子信息工程 指 導(dǎo) 教 師 職 稱 講 師 2009 年 5 月 22 日 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) i 摘 要 本文介紹了電磁爐的功能控制系統(tǒng),它利用單片機(jī)的多功能控制優(yōu)勢對(duì)電磁爐 進(jìn)行智能控制,使之具有高效節(jié)能、健康環(huán)保、安全可靠等一系列優(yōu)點(diǎn)。電磁爐成 為目前發(fā)展最快的,市場增長幅度最高的家電產(chǎn)品之一。 本設(shè)計(jì)以at89c51為核心,實(shí)現(xiàn)電磁爐的數(shù)碼管顯示控制、多種安全保護(hù)功 能、功率自動(dòng)控制、溫度自動(dòng)控制、定時(shí)控制以及各種自動(dòng)檢測報(bào)警功能的控制; 對(duì)單片機(jī)at89c51在系統(tǒng)

2、控制上的應(yīng)用,從軟硬件兩方面對(duì)控制系統(tǒng)的設(shè)計(jì)方案 做了簡單的介紹。在軟件設(shè)計(jì)上,采用模塊化程序設(shè)計(jì)的思想,對(duì)電磁爐控制系統(tǒng) 的各個(gè)功能模塊進(jìn)行劃分和設(shè)計(jì)。研究智能鍋具檢測技術(shù),提高了鍋具檢測的快速 性和準(zhǔn)確性,減少電磁污染并節(jié)約了電能。 最后,對(duì)整個(gè)系統(tǒng)進(jìn)行仿真、調(diào)試和性能測試。測試結(jié)果表明,該控制系統(tǒng)設(shè) 計(jì)合理,穩(wěn)定,安全、可靠性高。 關(guān)鍵詞:電磁爐;單片機(jī);多功能;控制 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) ii abstract this paper introduces the functions control system of the induction cooker, which uses

3、 the multi-functional control advantages of single-chip microcomputer to realize an intelligent control of induction cooker so that they have a series of advantages such as high efficiency, energy-saving, healthy environmental protection,high security and reliability. it become one of household elec

4、trical appliances whose development is quickest and the market growth rate is highest at the present. this design uses at89c51 as the core processor which realizes many functions as nixietube display,varies of safety protection,output power ato- controllation,time setting ato-controllation and detec

5、tion alarming; and simply introduces the application of single-chip at89c51 in control system , elaborates the design from both handware and software. in the software design,it uses the ideas of modularized program design to carve up and design each function module in the induction cooker. intellige

6、nt cooker testing technology enhances the rapid detection and accuracy for the pan and reduces electromagnetic pollution and saving electricity. finally, the equipment is entire simulated and debugged. after many times testing and running, it has proven that this system features with reasonable,outp

7、ut power steady, safety and reliable. keywords: induction cooker;single-chip microcomputer;mult- function;control 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) iii 目 錄 摘 要.i abstract .ii 前 言.1 第 1 章 系統(tǒng)總體方案.2 1.1 方案設(shè)計(jì).2 1.2 方案論證.3 第 2 章 硬件設(shè)計(jì).4 2.1 芯片介紹.4 2.2 電磁爐無鍋檢測模塊.9 2.3 定時(shí)控制模塊.10 2.4 功率控制模塊.12 2.5 溫度自動(dòng)控制模塊.13 2.6 顯示模塊.14 2.7 保護(hù)電

8、路.16 2.8 按鍵模塊.17 2.9 報(bào)警模塊.17 2.10 電源電路.18 第 3 章 程序設(shè)計(jì).19 3.1 主程序流程.19 3.2 無鍋檢測程序流程.20 3.3 a/d 轉(zhuǎn)換程序流程.21 3.4 外部中斷.21 3.5 定時(shí)模塊流程.22 3.6 溫度控制程序流程.26 3.7 基本顯示模塊流程.26 3.8 報(bào)警模塊流程.27 第 4 章 系統(tǒng)仿真與調(diào)試.29 4.1 系統(tǒng)仿真.29 4.2 系統(tǒng)調(diào)試.31 第 5 章 pcb 板設(shè)計(jì)與制作 .33 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) iv 5.1 pcb 板設(shè)計(jì).33 5.2 pcb 板制作.34 結(jié)束語.37 參考文獻(xiàn).38 致

9、謝.39 附錄 程序清單.40 附錄 系統(tǒng)原理圖.49 附錄 pcb 圖.50 附錄 元器件清單.51 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 1 前 言 當(dāng)今,隨著電子技術(shù)的高速發(fā)展,單片機(jī)的應(yīng)用已經(jīng)滲透到生產(chǎn)和生活中的各個(gè) 方面,有力的推動(dòng)了社會(huì)的發(fā)展。單片機(jī)以其體積小,集成度高,價(jià)格便宜,在數(shù)據(jù) 處理、實(shí)時(shí)控制等方面無與倫比的強(qiáng)大功能而受到廣大科研工作者及生產(chǎn)廠家的親 睞。目前,市場熱銷的電磁爐就是單片機(jī)控制系統(tǒng)的一個(gè)典型應(yīng)用,其品牌繁多、 功能強(qiáng)大且多樣。作為一個(gè)畢業(yè)設(shè)計(jì)的課題,對(duì)單片機(jī)控制系統(tǒng)的應(yīng)用做了一些初 步的嘗試和探討。 以往家用電器采用模擬電路和數(shù)字電路設(shè)計(jì)的整體電路的規(guī)模較大,用到的器

10、件多,造成故障率高,難調(diào)試,而且電路復(fù)雜,維修和生產(chǎn)測試不太方便;而今, 采用單片機(jī)系統(tǒng)完成設(shè)計(jì)使電路設(shè)計(jì)簡單可靠,工作可靠性很好,功能強(qiáng)大,實(shí)現(xiàn) 控制智能化。為此我們采用了單片機(jī)進(jìn)行設(shè)計(jì),相對(duì)來說功能強(qiáng)大,用較少的硬件 和適當(dāng)?shù)能浖嗷ヅ浜峡梢院苋菀椎膶?shí)現(xiàn)設(shè)計(jì)要求,且靈活性強(qiáng),可以通過軟件編 程來完成更多的附加功能。針對(duì)各種功能的控制,通過軟件編程就可以輕易而舉的 實(shí)現(xiàn)。 本設(shè)計(jì)以at89c51為核心,實(shí)現(xiàn)電磁爐的定時(shí)控制、溫度自動(dòng)控制、功率自 動(dòng)控制、保護(hù)功能、數(shù)碼管顯示控制以及無鍋檢測報(bào)警功能的控制;對(duì)單片機(jī) at89c51在系統(tǒng)控制上的應(yīng)用,從軟硬件兩方面闡述了控制系統(tǒng)的設(shè)計(jì)方案做了

11、簡單的介紹。在軟件設(shè)計(jì)上,采用模塊化程序設(shè)計(jì)的思想,對(duì)電磁爐控制系統(tǒng)的各 個(gè)功能模塊進(jìn)行劃分和設(shè)計(jì),提高了控制系統(tǒng)的快速性和準(zhǔn)確性。 最后,對(duì)整個(gè)系統(tǒng)進(jìn)行組裝、調(diào)試和性能測試。實(shí)驗(yàn)結(jié)果表明,該控制系統(tǒng)設(shè) 計(jì)合理,穩(wěn)定,安全,可靠性高。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 2 第 1 章 系統(tǒng)總體方案 1.1 方案設(shè)計(jì) 方案一:控制部分的核心采用傳統(tǒng)的數(shù)字邏輯芯片來實(shí)現(xiàn)。系統(tǒng)的邏輯狀態(tài)以 及相互轉(zhuǎn)移更是復(fù)雜,用純粹的數(shù)字電路或小規(guī)模的可編程邏輯電路來實(shí)現(xiàn)該系統(tǒng) 有一定的困難,需要用中大規(guī)模的可編輯邏輯電路。這樣,系統(tǒng)的成本就會(huì)急劇上 升。 方案結(jié)構(gòu)圖如圖1.1: 電源 ac/dc 按鍵控制電磁爐控制板驅(qū)動(dòng)

12、電路主諧振電路鍋具 顯示保護(hù)檢測電路 圖 1.1 方案一結(jié)構(gòu)圖 方案二:以at89c51系統(tǒng)為核心,利用單片機(jī)豐富的i/o端口,及其控制的 靈活性,使其實(shí)現(xiàn)電磁爐數(shù)碼管顯示控制、多種安全保護(hù)功能、功率自動(dòng)控制、溫 度自動(dòng)控制、定時(shí)控制以及各種自動(dòng)檢測報(bào)警功能的控制。此系統(tǒng)的硬件和軟件都 比較容易實(shí)現(xiàn),且滿足本題的精度要求,性價(jià)比較高的at89c51具有以下特點(diǎn): 其 8k 的eprom可在固化程序上是方便地多次擦寫,獨(dú)有的低功耗性能保證器件 的長時(shí)間工作;采用最小應(yīng)用系統(tǒng)設(shè)計(jì),電路可靠、穩(wěn)定。方案結(jié)構(gòu)圖如圖1.2: 單 片 機(jī) 定時(shí)控制 溫度自動(dòng)控制 功率自動(dòng)控制 檢測報(bào)警控制 安全保護(hù)控制

13、數(shù)碼管顯示控制 電源電路 圖 1.2 方案二結(jié)構(gòu)圖 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 3 1.2 方案論證 方案一采用模擬電路和數(shù)字電路設(shè)計(jì)的整體電路的規(guī)模較大,用獨(dú)立振蕩單元, 多個(gè)功率管并聯(lián)、驅(qū)動(dòng)放大電路采用分立元件,如:定時(shí)采用 555 構(gòu)成的單穩(wěn)態(tài)觸 發(fā)器控制,但是該單穩(wěn)態(tài)電路對(duì)輸入的脈沖寬度有一定的要求,即觸發(fā)脈沖寬度要 小于暫穩(wěn)時(shí)間,而實(shí)際應(yīng)用中則大于暫穩(wěn)時(shí)間,于是還要先經(jīng)微分電路后再加到電 路的低電平觸發(fā)端。僅一個(gè)定時(shí)控制電路就已經(jīng)如此復(fù)雜,若加上其它的溫度、功 率、顯示等電路,系統(tǒng)電路更為繁雜,由此一來,用到的器件多,造成故障率高, 難調(diào)試,而且電路復(fù)雜,維修和生產(chǎn)測試不太方便;雖然容

14、易實(shí)現(xiàn),但控制和性能 方面都很差,硬件設(shè)計(jì)任務(wù)比較麻煩,而且設(shè)計(jì)的產(chǎn)品實(shí)際操作也不方便。 方案二是采用以at89c51為核心的單片機(jī)系統(tǒng),可以實(shí)現(xiàn)數(shù)碼顯示、定時(shí)控 制、溫度功率自動(dòng)控制等功能,大大提高了智能化自動(dòng)控制的速度。顯示采用8位 一體數(shù)碼管,既顯示定時(shí)又顯示溫度,其中,數(shù)碼管的前四位顯示定時(shí)的時(shí)和分, 后三位顯示溫度;定時(shí)采用單片機(jī)內(nèi)部定時(shí)和外部中斷結(jié)合控制實(shí)現(xiàn);溫度和功率 控制選用adc0808和電位器聯(lián)合控制實(shí)現(xiàn)。由此一來,系統(tǒng)利用單片機(jī)強(qiáng)大功能 對(duì)各個(gè)模塊進(jìn)行系統(tǒng)控制,減少分立元器件的使用,使其效率高、體積小、重量輕、 噪音小、省電節(jié)能、并且系統(tǒng)所測結(jié)果的精度和性能都很高,該方案

15、完全具有可行 性,同時(shí)體現(xiàn)了技術(shù)的先進(jìn)性,經(jīng)濟(jì)上也有很大的優(yōu)勢。 綜上所述,經(jīng)比較,本設(shè)計(jì)采用方案二。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 4 第 2 章 硬件設(shè)計(jì) 2.1 芯片介紹 2.1.1 at89c51 at89c511單片機(jī)是把那些作為控制應(yīng)用所必需的基本內(nèi)容都集成在一個(gè)尺 寸有限的集成電路芯片上。如果按功能劃分,它由如下功能部件組成,即微處理器、 數(shù)據(jù)存儲(chǔ)器、程序存儲(chǔ)器、并行i/o口、串行口、定時(shí)器/計(jì)數(shù)器、中斷系統(tǒng)及特殊 功能寄存器。它們都是通過片內(nèi)單一總線連接而成,其基本結(jié)構(gòu)依舊是cpu加上 外圍芯片的傳統(tǒng)結(jié)構(gòu)模式。但對(duì)各種功能部件的控制是采用特殊功能寄存器的集中 控制方式。 at89c

16、51引腳如圖2.1所示。 xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p1.0 1 p1.1 2 p1.2 3 p1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1

17、 15 p2.7/a15 28 p2.0/a8 21 p2.1/a9 22 p2.2/a10 23 p2.3/a11 24 p2.4/a12 25 p2.5/a13 26 p2.6/a14 27 u1 at89c51 圖2.1 主芯片 at89c51 引腳圖 微處理器:該單片機(jī)中有一個(gè)8位的微處理器,與通用的微處理器基本相同, 同樣包括了運(yùn)算器和控制器兩大部分,只是增加了面向控制的處理功能,不僅可處 理數(shù)據(jù),還可以進(jìn)行位變量的處理。 數(shù)據(jù)存儲(chǔ)器:片內(nèi)為128個(gè)字節(jié),片外最多可外擴(kuò)至64k字節(jié),用來存儲(chǔ)程序 在運(yùn)行期間的工作變量、運(yùn)算的中間結(jié)果、數(shù)據(jù)暫存和緩沖、標(biāo)志位等,所以稱為 數(shù)據(jù)存儲(chǔ)器。

18、邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 5 程序存儲(chǔ)器:由于受集成度限制,片內(nèi)只讀存儲(chǔ)器一般容量較小,如果片內(nèi)的 只讀存儲(chǔ)器的容量不夠,則需用擴(kuò)展片外的只讀存儲(chǔ)器,片外最多可外擴(kuò)至64k字 節(jié)。 中斷系統(tǒng):具有5個(gè)中斷源,2級(jí)中斷優(yōu)先權(quán)。 定時(shí)器/計(jì)數(shù)器:片內(nèi)有2個(gè)16位的定時(shí)器/計(jì)數(shù)器, 具有四種工作方式。 串行口:有1個(gè)全雙工的串行口,具有四種工作方式。可用來進(jìn)行串行通訊, 擴(kuò)展并行i/o口,甚至與多個(gè)單片機(jī)相連構(gòu)成多機(jī)系統(tǒng),從而使單片機(jī)的功能更強(qiáng) 且應(yīng)用更廣。 并行i/o口:共有4個(gè)并行8位i/o口(p0、p1、p2、p3),每個(gè)口都有 1個(gè)鎖存器和1個(gè)驅(qū)動(dòng)器組成。并行i/o口主要是用于實(shí)現(xiàn)與外部設(shè)備

19、中數(shù)據(jù)的并 行輸入/輸出,有些i/o口還具有其他功能。 特殊功能寄存器:共有21個(gè),用于對(duì)片內(nèi)的各功能的部件進(jìn)行管理、控制、 監(jiān)視。實(shí)際上是一些控制寄存器和狀態(tài)寄存器,是一個(gè)具有特殊功能的ram區(qū)。 復(fù)位電路的設(shè)計(jì) 復(fù)位電路的實(shí)現(xiàn)通常有兩種方式: rc復(fù)位電路和專用p監(jiān)控電路。前者實(shí) 現(xiàn)簡單,成本低,但復(fù)位可靠性相對(duì)較低;后者成本較高,但復(fù)位可靠性高,尤其 是高可靠重復(fù)復(fù)位。對(duì)于復(fù)位要求高、并對(duì)電源電壓進(jìn)行監(jiān)視的場合,大多采用這 種方式。 本次設(shè)計(jì)采用了上電按鈕電平復(fù)位電路。電路圖如圖2. 2所示。 res c3 10uf r9 10k k 圖2. 2 復(fù)位電路圖 由上可見,單片機(jī)的硬件結(jié)構(gòu)具

20、有功能部件種類全,功能強(qiáng)等特點(diǎn)。特別值得 一提的是該單片機(jī)cpu中的位處理器,它實(shí)際上是一個(gè)完整的 1 位微計(jì)算機(jī),這 個(gè)1位微計(jì)算機(jī)有自己的cpu、位寄存器、i/o口和指令集。1位機(jī)在開關(guān)決策、 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 6 邏輯電路仿真、過程控制方面非常有效;而8位機(jī)在數(shù)據(jù)采集,運(yùn)算處理方面有明 顯的長處。mcs-51單片機(jī)中8位機(jī)和1位機(jī)的硬件資源復(fù)合在一起,二者相輔相 承,它是單片機(jī)技術(shù)上的一個(gè)突破,這也是mcs-51單片機(jī)在設(shè)計(jì)的精美之處。 2.1.2 模數(shù)轉(zhuǎn)換器 adc0808 對(duì)系統(tǒng)精度至關(guān)重要的a/d轉(zhuǎn)換換器,采用的是adc08082。 (1)內(nèi)部結(jié)構(gòu) adc0808片內(nèi)帶有鎖

21、存功能的8路模擬多路開關(guān),可對(duì)8路0-5v的輸入 模擬電壓信號(hào)分時(shí)進(jìn)行轉(zhuǎn)換,片內(nèi)具有多路開關(guān)的地址譯碼和鎖存電路、比較器、 256r電阻t形網(wǎng)絡(luò)、樹狀電子開關(guān)、逐次逼近寄存器sar、控制與時(shí)序電路等。 輸出具有ttl三態(tài)鎖存緩沖器,可直接連到單片機(jī)數(shù)據(jù)總線上。 (2)引腳及功能 adc0808的芯片引腳如圖2.3所示。 out1 21 add b 24 add a 25 add c 23 vref(+) 12 vref(-) 16 in3 1 in4 2 in5 3 in6 4 in7 5 start 6 out5 8 eoc 7 oe 9 clock 10 out2 20 out7 14 o

22、ut6 15 out8 17 out4 18 out3 19 in2 28 in1 27 in0 26 ale 22 u1 adc0808 圖2.3 adc0808 的芯片引腳圖 引腳功能介紹如下所述: in0in7:8路模擬量輸入通道的端口。輸入信號(hào)為單極性,電壓范圍為0- 5v。 start,ale:start為啟動(dòng)控制輸入端口,start上跳沿時(shí),所在內(nèi) 部寄存器清零;start下跳時(shí),開始進(jìn)行a/d轉(zhuǎn)換;在a/d轉(zhuǎn)換期間, start就保持低電平。ale為地址鎖存控制信號(hào)端口。這兩個(gè)信號(hào)端可連接在一 起,當(dāng)通過軟件輸入一個(gè)正脈沖,便立即啟動(dòng)模/數(shù)轉(zhuǎn)換。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 7 e

23、oc,oe:eoc為轉(zhuǎn)換結(jié)束信號(hào)脈沖輸出端口,oe為輸出允許控制端門。 這兩個(gè)信號(hào)亦可連接在一起表示模/數(shù)轉(zhuǎn)換結(jié)束。oe端的電平由低變高,打開三態(tài) 輸出鎖存器,將轉(zhuǎn)換結(jié)果的數(shù)字量輸出到數(shù)據(jù)總線上。 ref(+)、ref(-)、vccgnd:ref(+)和ref(-)為參考電壓輸入端,vcc 為主電源輸入端,gnd為接地端。一般ref(+)與vcc連接在一起,ref(-)與 gnd連接在一起。 clk:時(shí)鐘輸入端。adc0808的內(nèi)部沒有時(shí)鐘電路,所需時(shí)鐘信號(hào)由外界 提供,因此有時(shí)鐘信號(hào)引腳。通常使用頻率為500khz以下時(shí)鐘信號(hào)。 adda、addb、addc:8路模擬開關(guān)的3位地址選通輸入端

24、,以選擇 對(duì)應(yīng)的輸入通道。 adc0808功能如下所述: 分辨率為8位; adc0808最大不可調(diào)誤差小于 1/2lsb,adc0809小于1lsb;由單一的+5v電源供電,模擬輸人范圍為 05v;具有鎖存控制的8路模擬開關(guān);可鎖存三態(tài)輸出,輸出與ttl兼容; 功耗為15mw;不必進(jìn)行零點(diǎn)和滿度調(diào)整;轉(zhuǎn)換速度取決于芯片的時(shí)鐘頻 率,時(shí)鐘頻率范圍:10-1280khz,當(dāng)clk=500khz時(shí),轉(zhuǎn)換速度為128us。 adc0808與單片機(jī)at89c51接口連接如圖2.4。 eoc eoc xtal2 18 xtal1 19 ale 30 ea 31 psen 29 rst 9 p0.0/ad0

25、 39 p0.1/ad1 38 p0.2/ad2 37 p0.3/ad3 36 p0.4/ad4 35 p0.5/ad5 34 p0.6/ad6 33 p0.7/ad7 32 p1.0 1 p1.1 2 p1.2 3 p1.3 4 p1.4 5 p1.5 6 p1.6 7 p1.7 8 p3.0/rxd 10 p3.1/txd 11 p3.2/int0 12 p3.3/int1 13 p3.4/t0 14 p3.7/rd 17 p3.6/wr 16 p3.5/t1 15 p2.7/a15 28 p2.0/a8 21 p2.1/a9 22 p2.2/a10 23 p2.3/a11 24 p2.4

26、/a12 25 p2.5/a13 26 p2.6/a14 27 u1 at89c51 out1 21 add b 24 add a 25 add c 23 vref(+) 12 vref(-) 16 in3 1 in4 2 in5 3 in6 4 in7 5 start 6 out5 8 eoc 7 oe 9 clock 10 out2 20 out7 14 out6 15 out8 17 out4 18 out3 19 in2 28 in1 27 in0 26 ale 22 u3 adc0808 47% rv1 4.7k u3(clock) 圖2.4 adc0808 與單片機(jī) at89c51

27、 接口連接圖 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 8 2.1.3 譯碼器 74ls138 74ls1382是最常用的集成譯碼器之一,其引腳圖如圖2.5所示。 a 1 b 2 c 3 e1 6 e2 4 e3 5 y0 15 y1 14 y2 13 y3 12 y4 11 y5 10 y6 9 y7 7 u1 74ls138 圖2.5 74ls138 引腳圖 74ls138譯碼器有3個(gè)輸入端a、b和c,8個(gè)輸出端y0y7,因此又 稱為3-8譯碼器。如圖中e1、e2和e3是3個(gè)控制輸入端(使能控制端),組 合控制譯碼器的選通和禁止,其中e2和e3為低電平有效。當(dāng)= =0,e1=1 e2e3 時(shí),譯碼器處于工

28、作狀態(tài),否則,當(dāng)+=1或e1=0時(shí),譯碼器被禁止(即譯 e2 e3 碼器不工作),此時(shí),無論輸入的a、b、c為何狀態(tài),譯碼器都無輸出。 在分析具有控制輸入端的組合電路時(shí),要分清功能輸入信號(hào)(如74ls138的 a、b、c)和控制輸入信號(hào)(如74ls138的e1、e2、e3)。只有控制輸入處 于有效(使能)狀態(tài)時(shí),功能輸入與輸出之間才有相應(yīng)的邏輯關(guān)系。 74ls138譯碼器的真值表如表2.1所示。 由真值表知,當(dāng)+=1或e1=0時(shí),譯碼器處于禁止?fàn)顟B(tài),輸出 e2 e3y0 全為1;當(dāng)= =0,e1=1時(shí),譯碼器被選通,處于工作狀態(tài),譯碼器輸出 y7e2e3 與輸入之間的邏輯關(guān)系為: = ; =

29、; y0y1 = ; = ; y2y3 = 。 y7 e1e2e3 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 9 表 2.1 74ls138 譯碼器的真值表 控制輸入譯碼輸入輸出 e1+ e2 e3 abcy0y1y2y3y4y5y6y7 111111111 011111111 1000001111111 1000110111111 1001011011111 1001111101111 1010011110111 1010111111011 1011011111101 1011111111110 如選用共陰極數(shù)碼管,則74ls138 與led接口方法如圖2.6所示。 圖 2.6 74ls138 與 led

30、連接 2.2 電磁爐無鍋檢測模塊 無鍋檢測電路的任務(wù)是檢查電磁爐上是否有鍋,若放有合適的鍋,便進(jìn)行連續(xù) 加熱工作或者保溫工作;若沒有鍋,便停止加熱并發(fā)出無鍋報(bào)警,提示用戶現(xiàn)在無 鍋,以便做相應(yīng)處理;如果在無鍋報(bào)警中途有鍋放上去了,則繼續(xù)加熱工作。 如圖 2.7 所示,本系統(tǒng)檢鍋模塊通過電流檢測電路來實(shí)現(xiàn),檢測原理38:r17 分壓,d4、c10構(gòu)成一個(gè)濾波電路,lm339作為電壓比較器;當(dāng)lm339的 7 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 10 腳電壓高于6腳時(shí),輸出一個(gè)高電平,可通過測定一個(gè)無鍋檢測電壓臨界值(0.94v), 若當(dāng)系統(tǒng)啟動(dòng)50ms以上時(shí),電壓小于此臨界值,則認(rèn)為是無鍋,若無鍋,則從電

31、 磁爐工作原理上進(jìn)行解釋,即認(rèn)為是內(nèi)部加熱線圈沒有負(fù)載,也就沒有功耗,所以 主回路只有很小的輸入電流,取樣電壓也很低,即單片機(jī)檢測到的電壓將很低。系 統(tǒng)自動(dòng)報(bào)警,關(guān)斷控制線;若電壓高于臨界值,則認(rèn)為有鍋,恢復(fù)原來的工作狀態(tài); 無鍋檢測時(shí),按關(guān)機(jī)鍵,仍能關(guān)機(jī)。 無鍋檢測電路設(shè)計(jì)如圖2.7。 to 喇叭 tr2 tran-2p2s c9 r2 +5v 220v交流 d4 1n4148 r17c11 7 6 1 312 u6:a lm339 q2 2n2369 +5v +5v c10 r18 25k 電流互感器 圖 2.7 無鍋檢測電路圖 2.3 定時(shí)控制模塊 電路包括信號(hào)發(fā)生器、時(shí)間顯示電路、按鍵

32、電路以及指示電路等幾部分。 按鍵功能說明: k1:用來設(shè)置定時(shí)時(shí)間的小時(shí),設(shè)置小時(shí)每按一下,時(shí)鐘加一。 k2:設(shè)置定時(shí)的分鐘,每按一下,分鐘加一。 k3:定時(shí)設(shè)定確認(rèn)鍵,設(shè)置完后按一下k3確認(rèn)并退出。顯示會(huì)自動(dòng)從 00:00開始計(jì)時(shí)。 k4:定時(shí)設(shè)置,起始時(shí)間為00:00;設(shè)置首先按一下k4,然后按其他鍵設(shè) 置定時(shí)時(shí)間。 時(shí)鐘電路設(shè)計(jì),原理圖中的c1、c2電容起著系統(tǒng)時(shí)鐘頻率微調(diào)和穩(wěn)定的作用, 因此,在本定時(shí)模塊中c1、c2選擇30 pf,晶振頻率為12mhz。 其電路設(shè)計(jì)如圖2.8。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 11 圖 2.8 定時(shí)控制電路圖 定時(shí)指示可以有聲或光兩種形式,本系統(tǒng)采用聲音指示

33、。關(guān)鍵元件是揚(yáng)聲器, 揚(yáng)聲器有無源和有源兩種,前者需要輸入聲音頻率信號(hào)才能正常發(fā)聲,后者則只需 外加適當(dāng)直流電源電壓即可,元件內(nèi)部已封裝了音頻振蕩電路,在得電狀態(tài)下即起 振發(fā)聲。市場上的有源揚(yáng)聲器分為3v、5v、6v等系列,以適應(yīng)不同的應(yīng)用需要。 定時(shí)電路是用比較器來比較計(jì)時(shí)系統(tǒng)和定時(shí)系統(tǒng)的輸出狀態(tài),如果計(jì)時(shí)系統(tǒng)和定時(shí) 系統(tǒng)的輸出狀態(tài)相同,則發(fā)出一個(gè)脈沖信號(hào),再和一個(gè)高頻信號(hào)混合,送到放大電 路驅(qū)動(dòng)揚(yáng)聲器發(fā)聲,從而實(shí)現(xiàn)定時(shí)報(bào)警的功能。 本次設(shè)計(jì)的定時(shí)時(shí)間可達(dá)到24小時(shí),用戶可根據(jù)自己的意愿任意設(shè)置定時(shí)的 時(shí)間,當(dāng)定時(shí)時(shí)間到時(shí),系統(tǒng)會(huì)自動(dòng)報(bào)警以提示用戶進(jìn)行相應(yīng)的操作。若定時(shí)時(shí)間 還沒到,而用戶又

34、想要中止時(shí),則可通過面板上的相應(yīng)按鍵進(jìn)行中斷。 2.4 功率控制模塊 本設(shè)計(jì)的功率控制是基于控制pwm占空比來實(shí)現(xiàn)的,模塊主要由單片機(jī)和 adc0808組成。根據(jù)電源電壓(市電壓)利用相關(guān)公式并通過調(diào)節(jié)rv1的大小 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 12 來實(shí)現(xiàn)功率控制。結(jié)合實(shí)際,功率的測量用戶設(shè)定的 5 檔炒、炸、煮、煎、保溫火 力所對(duì)的功率分別為1600w、1400w、1200w、1100w、800w。設(shè)計(jì)預(yù)先實(shí) 現(xiàn)以下幾個(gè)步驟: (1) 控制公式4 要根據(jù)所設(shè)定的功率及當(dāng)時(shí)所測市電電壓,來確定要輸出的占空比,將i=p/v 代入上式,得: 占空比=0.156p/v-0.222 (2.1) 為了便于

35、計(jì)算機(jī)處理,將占空比表示為pwm/128,128為一個(gè)pwm信號(hào) 周期計(jì)數(shù)值,32us為一個(gè)周期;功率p表示為ptab10,ptab為火力檔功率查表值, 由高至低分別為160(a0h)、140(8ch)、120(78h)、110(6eh)、80(50h); 將v的測量解析式代入,得: pwm=128ptab/voladc28 (2.2) 市電電壓值與 adc 轉(zhuǎn)換值的近似解析公式: v=vol220/2.66=voladc79.4/51=1.557voladc (2.3) voladc為vol電壓adc值。 比較電壓vcmp與負(fù)荷電流的關(guān)系。 比較電壓vcmp的大小可以直接控制負(fù)荷電流,pwm

36、信號(hào)正是通過控制 vcmp來達(dá)到控制負(fù)荷電流的目的。根據(jù)電路圖可得出它們之間的關(guān)系為: vcmp=5(1+20占空比)/21,它是線性且單調(diào)的,每一個(gè)pwm占空比都可 以得到唯一的一個(gè)vcmp 。因此,只要得到比較電壓vcmp與負(fù)荷電流的關(guān)系,就 可以得到pwm占空比與負(fù)荷電流的關(guān)系。本設(shè)計(jì)通過調(diào)整可變電阻來改變pwm 占空比,用pwm信號(hào)來控制負(fù)荷電流,從而達(dá)到控制功率的目的。 (2) 功率調(diào)節(jié)與控制 如電路圖2.9所示,市電的交流電源經(jīng)橋式整流器變換為直流電,再經(jīng)電壓諧 振變換器變換成頻率為2040khz的交流電。橋式整流器br1(見電源電路) 的將市電的工頻電源變換為單向脈動(dòng)的直流電,通

37、過加熱線圈l1、二極管d5-d9 和功率管q3-q10等構(gòu)成電壓諧振變換器。二極管d8、d9的作用是為部分諧振 電流提供通路,保護(hù)功率開關(guān)管。功率管是電壓諧振變換器的主開關(guān)。q3-q6將 pwm(pwm信號(hào)由單片機(jī)c51的p3.4口輸出)進(jìn)行信號(hào)放大,q7-q9相當(dāng) 于一個(gè)功率開關(guān)(用q表示) 。當(dāng)主開關(guān)q導(dǎo)通時(shí),使直流電壓加在l1上,l1 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 13 中的電流由開始按指數(shù)規(guī)律上升,通過ll向負(fù)載傳輸能量,l1 發(fā)熱,同時(shí),ll中 也儲(chǔ)存能量。當(dāng)主開關(guān)q關(guān)斷后,ll中的能量向cl中轉(zhuǎn)移而發(fā)生諧振。通過調(diào)節(jié) rv1轉(zhuǎn)軸或滑柄,改變動(dòng)觸點(diǎn)在電阻體上的位置,則改變了動(dòng)觸點(diǎn)與任一個(gè)

38、固定端 之間的電阻值,來確定要輸出的pwm占空比5。最后用軟件具體實(shí)現(xiàn)時(shí),是用 pwm信號(hào)來控制負(fù)荷電流,即可自由調(diào)節(jié)和控制功率。由pwm信號(hào)得到的比較 電壓恒定,而電流負(fù)反饋信號(hào)隨輸出功率變化。當(dāng)輸出功率大于設(shè)定功率時(shí),得到 低電平的控制電平,輸出窄脈沖;當(dāng)輸出功率小于設(shè)定功率時(shí),得到高電平的控制 電平,輸出寬脈沖。這樣,就達(dá)到了按設(shè)定的功率穩(wěn)定輸出的目的。 功率控制電路設(shè)計(jì)如圖2.9: 220(+) 接c51的p3.4 220(-) r22 3k r21 680 q10 2n2369 q3 2n2369 r23 2k r24 1k r25 17 d5 1n4148 d6 1n4148 q5

39、 2n2369 q4 2n2369 q6 2n2369 q7 2n2369 q8 2n2369 q9 2n2369 d7 1n4148 r27 200 d9 1n4148 d8 1n4148 c12 0.3f l1 r20 2.2k r28 18k c13 5uf +5v r26 3.3k 220v交流經(jīng)整流 管輸出的電壓 加熱線圈 圖 2.9 功率控制電路圖 2.5 溫度自動(dòng)控制模塊 溫度控制模塊包括定溫設(shè)置即電磁爐保溫,調(diào)溫和上、下限控制。定溫設(shè)置通 過調(diào)節(jié)電位器(rv1)的“” 、 “”進(jìn)行設(shè)置定溫的大小,按一下“”即溫 度值加 1,按一下“”即溫度值下降 1。本系統(tǒng)可以在溫度限制范圍內(nèi)

40、無限級(jí)選擇 要設(shè)置的溫度大小,設(shè)定后,系統(tǒng)自動(dòng)處于保溫狀態(tài)。調(diào)溫時(shí),同樣可以通過調(diào)節(jié) rv1的兩個(gè)鍵改變r(jià)v1電阻大小,經(jīng)a/d0808轉(zhuǎn)換后輸出溫度5,溫度變化為 每級(jí)23度。溫度上、下限控制:若溫度高于上限或低于下限則自動(dòng)報(bào)警,同時(shí) 超溫警示燈亮。 本次設(shè)計(jì)溫度上限為250度,下限為70度。當(dāng)溫度達(dá)到250度或低于70度 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 14 時(shí),峰鳴器會(huì)自動(dòng)發(fā)出“b”的報(bào)警聲,同時(shí)超溫(紅)燈亮。 溫度控制電路設(shè)計(jì)如圖 2.10。 圖 2.10 溫度控制電路圖 2.6 顯示模塊 本次設(shè)計(jì)顯示分為數(shù)碼管顯示和led燈顯示。數(shù)碼管顯示主要有定時(shí)顯示和 溫度顯示,采用了8位數(shù)碼管6和

41、一個(gè)常用的3-8譯碼器74ls138實(shí)現(xiàn)系統(tǒng)定時(shí) 時(shí)間和溫度的數(shù)據(jù)顯示。在8位led顯示時(shí),為了簡化電路,降低成本,采用動(dòng) 態(tài)顯示的方式,8個(gè)led顯示器共用一個(gè)8位的i/o,8位led數(shù)碼管的位選線 分別由相應(yīng)的p2.0p2.2控制, 由74ls138的輸出口a、b、c輸入經(jīng)譯碼器 譯碼輸出端y0y7輸出,然后依次輸入到數(shù)碼管的位選81口。而將其相應(yīng)的 段選線由p0.0p0.7依次輸入至數(shù)碼管的adp。系統(tǒng)中的數(shù)碼管集時(shí)間顯示 和溫度顯示于一體,不但減少元器件的數(shù)量,降低設(shè)計(jì)成本,而且用戶可更直觀的 運(yùn)用顯示功能,使用更方便,實(shí)現(xiàn)產(chǎn)品人性化設(shè)計(jì)。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 15 led燈顯示

42、主要有無鍋指示,超溫指示和系統(tǒng)工作正常指示。如圖2.11 中所 示,d1為無鍋指示燈,當(dāng)系統(tǒng)檢測到無鍋時(shí),d1燈亮;d2為正常指示燈,當(dāng)系 統(tǒng)工作正常時(shí),d2亮;d3為超溫指示燈,當(dāng)系統(tǒng)溫度值大于250或小于70時(shí), d3亮。 顯示電路如圖2.11: 圖 2.11 顯示電路圖 (1) 顯示時(shí)間: 譯碼顯示電路將“時(shí)” 、 “分”計(jì)數(shù)器的輸出狀態(tài)七段顯示譯碼器譯碼,通過 8位led七段顯示器高四位分別顯示時(shí)間的時(shí)十位、時(shí)個(gè)位、分十位、分個(gè)位。 校時(shí)電路時(shí)用來對(duì)“時(shí)” 、 “分” 顯示數(shù)字進(jìn)行校對(duì)調(diào)整的。當(dāng)電磁爐開機(jī)時(shí),顯 示00:00,若要定時(shí),則通過按鍵進(jìn)行相應(yīng)的設(shè)置:按一下k4,進(jìn)入定時(shí)設(shè)置

43、, 數(shù)碼管顯示相應(yīng)的時(shí)間,設(shè)置退出時(shí)顯示從00:00開始計(jì)時(shí)的時(shí)間;按一下 k1、k2分別顯示時(shí)間分、時(shí)的變化。 (2) 顯示溫度: 溫度由8位數(shù)碼管的低四位顯示(注:本系統(tǒng)中溫度顯示只用到數(shù)碼管的低3 位,分別顯示溫度百、十、個(gè)位)。溫度顯示的范圍為:0255。通過調(diào)節(jié)可變電 阻的大小來調(diào)節(jié)溫度的高低,并由數(shù)碼管顯示具體溫度數(shù)據(jù)。根據(jù)設(shè)計(jì)要求,結(jié)合 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 16 電磁爐工作的實(shí)際情況,本設(shè)計(jì)另設(shè)置了報(bào)警上下限,即上限為250,下限為70。 用一個(gè)led可顯示達(dá)到溫度上下限,當(dāng)達(dá)到溫度上或下限時(shí),該警示燈亮。 2.7 保護(hù)電路 在實(shí)際生活中,受多種因素的影響往往會(huì)出現(xiàn)市電過壓

44、、欠壓現(xiàn)象,因此,作 為一種家用烹飪電器,其具有相應(yīng)的保護(hù)功能。如圖2.12所示,其中 rv2,lm324的1、2、3腳和外圍組件組成欠壓保護(hù)電路79。其中 rv3,lm324的5、6、7腳和外圍組件組成過壓保護(hù)電路。電阻r5 r8為比 較器提供基準(zhǔn)電壓, r4、r5、r6、r12、r14、r16為分壓電阻, vdl、vd2為耦合二極管。 電源電壓正常時(shí),rv2輸出電壓使lm324的2腳電位大于3腳電位,其1 腳輸出低電平,單片機(jī)判斷電源電壓正常。當(dāng)電源電壓低于160v時(shí),rpl輸出電 壓使lm324的2腳電位小于3腳電位,其1腳輸出高電平,經(jīng) vd1、r12、r16分壓送入單片機(jī)進(jìn)行欠壓判斷

45、控制。電源電壓正常時(shí),rv3輸 出電壓使lm324的5腳電位大于6腳電位,其7腳輸出低電平,單片機(jī)判斷電源 電壓正常。當(dāng)電源電壓高于245v時(shí),rv3輸出電壓使lm324的5腳電位小于 6腳電位,其7腳輸出高電平,經(jīng)vd2、r12、r16分壓送入單片機(jī)進(jìn)行過壓判 斷控制。 to 89c51的vcc 220(+) 220(-) c20 1nf r4 10k r6 10k r14 10k r7 10k r8 10k r11 10k r3 10k r9 10k r15 10k r13 10k r10 10k r16 10k r5 10k vd1 1n4001 vd2 1n4001 r12 10k 3

46、 2 1 411 u1:a lm324 5 6 7 411 u1:b lm324 52% rv3 10k 59% rv2 10k 電壓來自電源 電路整流橋 圖 2.12 保護(hù)電路圖 過熱檢測和保護(hù)可參照溫度控制模塊,當(dāng)系統(tǒng)溫度高于預(yù)定值時(shí),系統(tǒng)自動(dòng)報(bào) 警并作相應(yīng)的處理。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 17 2.8 按鍵模塊 按鍵設(shè)計(jì)如圖2.13所示, g k1 k2 k3 k4 to adc0808 52% rv1 1k 分分確確定定 時(shí)時(shí)定定時(shí)時(shí) 圖 2.13 按鍵設(shè)計(jì)圖 其中按鍵功能為: k4:定時(shí)設(shè)置,起始時(shí)間為00:00,設(shè)置首先按一下k4,然后按其他鍵設(shè) 置定時(shí)時(shí)間;k1:用來設(shè)置定時(shí)時(shí)

47、間的時(shí),設(shè)置小時(shí)每按一下,時(shí)鐘加一; k2: 設(shè)置定時(shí)的分鐘,每按一下,分鐘加一; k3:定時(shí)設(shè)定確認(rèn)鍵,設(shè)置完后按一下 k3確認(rèn)并退出。 rv1的“” 、 “”鍵分別控制rv1阻值增加、減小,它主要有控制系統(tǒng) 兩大模塊即溫度和功率模塊,而且都是通過控制rv1的電阻來控制模塊功能。在 溫度控制中,調(diào)節(jié)rv1的“” 、 “”鍵改變其阻值經(jīng)a/d轉(zhuǎn)換實(shí)現(xiàn)控制;在 功率控制中,調(diào)節(jié)rv1的“” 、 “”鍵改變其阻值經(jīng)cpu輸出改變pwm 的輸出占空比則可實(shí)現(xiàn)功率控制。 2.9 報(bào)警模塊 利用程序來控制單處機(jī)某個(gè)口線的“高”電平或“低”電平,則在該口線上就 能產(chǎn)生一定頻率的矩形波,接上喇叭就能發(fā)出一定

48、頻率的聲音,若再利用延時(shí)程序 控制“高”、“低”電平的持續(xù)時(shí)間,就能改變輸出頻率,從而改變音調(diào)。 系統(tǒng)報(bào)警信號(hào)由單片機(jī)p3.4口接入揚(yáng)聲器,有報(bào)警信號(hào)輸入時(shí)揚(yáng)聲器發(fā)出 “b”的聲音。系統(tǒng)產(chǎn)生報(bào)警信號(hào)的情況:(1)當(dāng)定時(shí)時(shí)間達(dá)到時(shí);(2) 當(dāng)溫度上限或下限達(dá)到時(shí);(3)當(dāng)系統(tǒng)檢測到無鍋時(shí)。 報(bào)警電路由一個(gè)揚(yáng)聲器驅(qū)動(dòng)電路8和一個(gè)揚(yáng)聲器組成。 報(bào)警電路如圖2.14: 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 18 p3.4 ls1 speaker d0 1n4148 q1 2n2905 r2 1k +5v 圖 2.14 報(bào)警電路圖 2.10 電源電路 電源是整個(gè)系統(tǒng)的能量來源,它直接關(guān)系到系統(tǒng)能否運(yùn)行。在本系統(tǒng)中單

49、片機(jī)、 數(shù)碼管顯示、定時(shí)、報(bào)警等電路需要5v的電源,因此電路中選用穩(wěn)壓芯片7805, 其最大輸出電流為1.5a,能夠滿足系統(tǒng)的要求,其電路9 10如圖2.15所示。 gnd br1 vi 1 vo 3 gnd 2 u57805 c5 2200u c6 2200u c7 330p c8 330p vcc 1 2 j1 tr1 tran-2p2s 圖 2.15 電源電路圖 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 第 3 章 程序設(shè)計(jì) 系統(tǒng)程序設(shè)計(jì)說明在進(jìn)行微機(jī)控制系統(tǒng)設(shè)計(jì)時(shí),除了系統(tǒng)硬件電路設(shè)計(jì)外,大 量的工作就是如何根據(jù)每個(gè)生產(chǎn)對(duì)象的實(shí)際需要設(shè)計(jì)應(yīng)用程序。因此,程序設(shè)計(jì)在 微機(jī)控制系統(tǒng)設(shè)計(jì)中占重要地位。

50、對(duì)于本系統(tǒng),軟件更為重要。 在單片機(jī)控制系統(tǒng)中,大體上可分為數(shù)據(jù)處理、過程控制兩個(gè)基本類型。過程 控制程序主要是使單片機(jī)按一定的方法進(jìn)行計(jì)算,然后再輸出,以便控制系統(tǒng)準(zhǔn)確 高效地實(shí)現(xiàn)各功能。 為了完成上述任務(wù),在進(jìn)行設(shè)計(jì)時(shí),通常把整個(gè)過程分成若干個(gè)部分,每一部 分叫做一個(gè)模塊。所謂“模塊” ,實(shí)質(zhì)上就是所完成一定功能,相對(duì)獨(dú)立的程序段, 這種程序設(shè)計(jì)方法叫模塊程序設(shè)計(jì)法。 模塊程序設(shè)計(jì)法的主要優(yōu)點(diǎn)是: (1)單個(gè)模塊比起一個(gè)完整的程序易編寫及調(diào)試; (2)模塊可以共存,一個(gè)模塊可以被多個(gè)任務(wù)在不同條件下調(diào)用; (3)模塊程序允許設(shè)計(jì)者分割任務(wù)和利用已有程序,為設(shè)計(jì)者提供方便。 本系統(tǒng)軟件采用模

51、塊化結(jié)構(gòu),由主程序定時(shí)子程序、溫度控制子程序顯示子程 序等構(gòu)成。 3.1 主程序流程 如圖3.1所示,系統(tǒng)啟動(dòng)后,對(duì)整個(gè)系統(tǒng)進(jìn)行初始化,進(jìn)入主程序循環(huán)。初始 化包括:口線初始化、測試鍵檢測、鍵盤顯示初始化、定時(shí)器中斷初始化、a/d轉(zhuǎn) 換初始化。開機(jī)啟動(dòng)即開啟顯示,a/d轉(zhuǎn)換和系統(tǒng)內(nèi)部定時(shí);隨著系統(tǒng)工作的進(jìn)行, 用戶可啟用中斷即可自行設(shè)置定時(shí),定溫;當(dāng)定時(shí)時(shí)間到或系統(tǒng)溫度超出設(shè)定范圍 時(shí),系統(tǒng)自動(dòng)開啟報(bào)警功能,提示用戶進(jìn)行相應(yīng)操作。程序代碼見附錄。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 20 調(diào)用報(bào)警子程序 調(diào)用中斷子程序 調(diào)用轉(zhuǎn)換子程序 開啟定時(shí)、控溫 調(diào)用顯示子程序 置位初始化標(biāo)志 系統(tǒng)初始化 開始 結(jié)

52、束 如圖3.1 主程序流程圖 3.2 無鍋檢測程序流程 程序流程如圖3.2所示,本系統(tǒng)中的無鍋檢測利用電流檢測電路進(jìn)行檢測,系 統(tǒng)檢測到無鍋檢測標(biāo)志empty 為1時(shí),系統(tǒng)認(rèn)為是無鍋;否則為有鍋。無鍋時(shí), 無鍋警示燈(d1紅燈)亮。 檢測入口 電流檢測 置無鍋檢測標(biāo)志為 1 無鍋檢測標(biāo) 志為 1? 判為無鍋 無鍋指示燈亮 判為有鍋 系統(tǒng)繼續(xù)工作 yn 圖 3.2 無鍋檢測流程圖 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 21 轉(zhuǎn)換復(fù)位 啟動(dòng)轉(zhuǎn)換 允許轉(zhuǎn)換輸出 讀轉(zhuǎn)換結(jié)果 有轉(zhuǎn)換結(jié)束信號(hào) n y 子程序返回 3.3 a/d 轉(zhuǎn)換程序流程 a/d轉(zhuǎn)換流程如圖3.3所示,首先系統(tǒng)自動(dòng)轉(zhuǎn)換復(fù)位,啟動(dòng)a/d轉(zhuǎn)換,將模

53、擬值通過adc0808轉(zhuǎn)換為具體可視化數(shù)字,并可以通過數(shù)碼管顯示出來。本次設(shè) 計(jì)將溫度和壓力轉(zhuǎn)換為數(shù)值。程序代碼見附錄。 圖 3.3 a/d 轉(zhuǎn)換流程圖 3.4 外部中斷 外部中斷程序流程圖如圖3.4所示,系統(tǒng)進(jìn)行定時(shí)設(shè)置時(shí),啟動(dòng)外部中斷。開 始掃描按鍵,當(dāng)k4按下,可進(jìn)行定時(shí)設(shè)置; k1設(shè)置定時(shí)的分鐘,按一k1則分 鐘加一; k2設(shè)置定時(shí)的時(shí)鐘的時(shí),按一下k2則時(shí)鐘加一;k3設(shè)置定時(shí)確定并 退出。程序代碼見附錄。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 22 設(shè)置定時(shí)的分 關(guān) t1 標(biāo)志位清 0 p2 口數(shù)據(jù)送累加器 入口 k4 按下? k1 按下? 設(shè)置定時(shí)的時(shí) k2 按下? n n n n n n y

54、 n n n n n y n n 中斷返回 y n n 確定定時(shí)設(shè)置 k3 按下? n n n y n n 圖3.4 外部中斷流程圖 3.5 定時(shí)模塊流程 時(shí)間控制主模塊的程序框圖如圖3.5所示,程序代碼見附錄。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 23 cpu 系統(tǒng)初始化 定時(shí)初始化 中斷初始化 cpu系統(tǒng)初始化 始 化 串行口初始化 顯示待機(jī)指示符 設(shè)置定時(shí)時(shí)間 顯示刷新 啟動(dòng)計(jì)時(shí) 有關(guān)變量初始化 刷新顯示 報(bào)警 設(shè)置好定時(shí)時(shí)間 定時(shí)時(shí)間到? 1 秒鐘到了嗎? 時(shí)或分變化了嗎 延時(shí) 1 1 n n n n y y y y 圖3.5 定時(shí)控制主流程圖 3.5.1 內(nèi)部定時(shí)中斷 內(nèi)部定時(shí)器中斷如圖3.6

55、所示,由于定時(shí)器中斷可能發(fā)生在主程序的任何地方, 因此對(duì)主程序和中斷服務(wù)程序都要用到的資源必須加以保護(hù),如累加器a,在退出 中斷服務(wù)程序時(shí)將其恢復(fù)。此定時(shí)中斷還可被用于任何需要不是很嚴(yán)格的定時(shí)控制 的場合。例如,揚(yáng)聲器延時(shí),可在程序的任何地方打開揚(yáng)聲器,同時(shí)設(shè)置延時(shí)時(shí)間 計(jì)數(shù)器在每次1分鐘,1分鐘過后,揚(yáng)聲器立即自動(dòng)關(guān)斷。 此外,定時(shí)計(jì)數(shù)、無鍋檢測延時(shí)等,均可在此中斷中進(jìn)行,一般情況下,在主 程序中可不必為實(shí)現(xiàn)一個(gè)與時(shí)間控制有關(guān)功能而專門編寫一段軟件延時(shí)程序。程序 代碼見附錄。 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 24 入口 數(shù)據(jù)保護(hù) 出棧,返回 時(shí)鐘清 0 時(shí)鐘加 1 分鐘加 1 開始秒計(jì)時(shí) p3.4

56、 取反 t0 重裝初值 m_balarm=1? m_btemp=1? 60 秒到了? 60 分到了? 24 時(shí)到了? 2 n y y y y n n n n y 2 其程序流程圖如圖3.6: 圖 3.6 內(nèi)部中斷流程圖 3.5.2 定時(shí)功能的實(shí)現(xiàn) 定時(shí)功能的實(shí)現(xiàn)涉及到兩個(gè)方面:時(shí)間設(shè)定和是否達(dá)到設(shè)定時(shí)間判別與相應(yīng)處 理。當(dāng)時(shí)十位、時(shí)個(gè)位、分十位、分個(gè)位中任一位發(fā)生改變(進(jìn)位)時(shí),就必須進(jìn) 行報(bào)警判別。譯碼顯示電路將“時(shí)” 、 “分”計(jì)數(shù)器的輸出送到七段顯示譯碼驅(qū)動(dòng)器 譯碼驅(qū)動(dòng),通過八位七段led顯示器的低四位顯示出來。電路根據(jù)計(jì)時(shí)系統(tǒng)的輸 出狀態(tài)產(chǎn)生一脈沖信號(hào),然后加上一個(gè)高頻或低頻信號(hào)送到放

57、大電路驅(qū)動(dòng)揚(yáng)聲器發(fā) 聲實(shí)現(xiàn)報(bào)警。校時(shí)電路是直接加一個(gè)脈沖信號(hào)到時(shí)計(jì)數(shù)器或者分計(jì)數(shù)器或者秒計(jì)數(shù) 器來對(duì)“時(shí)” 、 “分”顯示數(shù)字進(jìn)行校對(duì)調(diào)整。程序代碼見附錄。 其流程圖如圖3.7所示: 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 25 初始化 顯示 計(jì)時(shí) 調(diào)時(shí)定時(shí)修改值 讀鍵 有報(bào)警? =定時(shí)值 判斷 報(bào)警標(biāo)志 報(bào)警 y y n n 定時(shí)判別處理 時(shí)十、個(gè)位,分十、個(gè)位改變了 設(shè)置定時(shí)標(biāo)志清除定時(shí)標(biāo)志 設(shè)置了定時(shí)? 當(dāng)前時(shí)間是設(shè) 定時(shí)間? 中斷返回 n y y n (a) (b) 圖 3.7 定時(shí)控制子程序流程圖 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 26 3.6 溫度控制程序流程 溫度控制流程圖如圖3.8所示,溫度控制道先

58、進(jìn)行設(shè)溫度初始化unsigned char m_bttemp,啟動(dòng)a/d轉(zhuǎn)換。讀adc0808 (每250毫秒讀一次)數(shù)據(jù)標(biāo)志 bit m _bread data = 0,判斷是否有溫度調(diào)整,延時(shí)并顯示。程序代碼見附錄 。 設(shè)初值 開始 啟動(dòng) a/d 轉(zhuǎn)換 是否調(diào)整? 調(diào)上限調(diào)下限 顯示 中斷完畢? 開始 設(shè)定溫度值 顯示溫度值 延時(shí) 退出 是 否 否 是 圖 3.8 溫度控制流程圖 3.7 基本顯示模塊流程 基本顯示模塊設(shè)計(jì)的重點(diǎn)是由顯示代碼取得相應(yīng)的段碼,顯示段碼數(shù)據(jù)的并行 發(fā)送,高精度的計(jì)時(shí)工具大多數(shù)都使用了石英晶體振蕩器,用led數(shù)碼管代替顯 示器代替指針顯示。程序代碼見附錄。 邵陽學(xué)

59、院畢業(yè)設(shè)計(jì)(論文) 27 開始 讀取溫度數(shù)據(jù) 分配溫度高低位 調(diào)用轉(zhuǎn)換子程序 調(diào)溫了嗎 顯示已調(diào)溫度 n y 顯示當(dāng)前溫度 返回 3.7.1 顯示時(shí)間程序流程 如圖3.9所示: 圖 3.9 顯示時(shí)間流程圖 3.7.2 顯示溫度程序流程圖 其流程圖如圖3.10 圖 3.10 溫度顯示流程圖 將 16 進(jìn)制時(shí)分?jǐn)?shù)據(jù)轉(zhuǎn)化為 bcd 顯示代碼 關(guān)顯示以免顯示抖動(dòng) 通過 p2 口將時(shí)分?jǐn)?shù)據(jù)傳入數(shù)碼管 打開顯示 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 28 超溫報(bào)警入口 標(biāo)志位清 0 警示燈 d3 亮 d3 不亮 red_temp = 1 標(biāo)志位清 0 警示燈 d3 亮 符號(hào)位清 0 讀溫度 高于上限? 低于下限? n

60、 n y y 3 5 4 4 5 3 3.8 報(bào)警模塊流程 3.8.1 定時(shí)報(bào)警程序流程圖 其程序流程圖如圖3.11,程序代碼見附錄。 報(bào)警程序入口 調(diào)用定時(shí)報(bào)警 標(biāo)志位置 0標(biāo)志位置 1 當(dāng)前時(shí)間與設(shè)定 時(shí)間相同? 報(bào)警程序返回 n y 圖 3.11 定時(shí)報(bào)警程序流程圖 3.8.2 超溫報(bào)警 邵陽學(xué)院畢業(yè)設(shè)計(jì)(論文) 29 圖 3.12 超溫報(bào)警流程圖 第 4 章 系統(tǒng)仿真與調(diào)試 仿真部分采用proteus professional 7.4和keil 軟件結(jié)合完成。 4.1 系統(tǒng)仿真 proteus是英國labcenter electronics公司研發(fā)的 eda 設(shè)計(jì)軟件, 是一個(gè) 基于p

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論