電子制圖課程設(shè)計(jì)方波-三角波發(fā)生器_第1頁
電子制圖課程設(shè)計(jì)方波-三角波發(fā)生器_第2頁
電子制圖課程設(shè)計(jì)方波-三角波發(fā)生器_第3頁
電子制圖課程設(shè)計(jì)方波-三角波發(fā)生器_第4頁
電子制圖課程設(shè)計(jì)方波-三角波發(fā)生器_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、課程設(shè)計(jì)說明書 方波三角波發(fā)生器沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.1課程設(shè)計(jì)目的計(jì)算機(jī)電子線路制圖課程設(shè)計(jì)是學(xué)習(xí)課程之后的綜合性實(shí)踐教學(xué)環(huán)節(jié)。目的是通過解決簡(jiǎn)單的實(shí)際問題鞏固和加深在計(jì)算機(jī)電子線路制圖課程終所學(xué)的理論知識(shí)和實(shí)驗(yàn)技能。訓(xùn)練學(xué)生綜合運(yùn)用學(xué)過的電子設(shè)計(jì)自動(dòng)化技術(shù)基礎(chǔ)知識(shí),在教師指導(dǎo)下完成查找資料,選擇、論證方案,設(shè)計(jì)電路,運(yùn)行仿真,設(shè)計(jì)印制電路板,分析結(jié)果,撰寫報(bào)告等工作。使學(xué)生初步掌握利用電子設(shè)計(jì)自動(dòng)化工具設(shè)計(jì)電子電路的一般方法步驟,通過理論聯(lián)系實(shí)際提高和培養(yǎng)學(xué)生分析、解決實(shí)際問題的能力和創(chuàng)新能力,為后續(xù)課程的學(xué)習(xí)、畢業(yè)設(shè)計(jì)和畢業(yè)后工作打下一定的基礎(chǔ)。沈 陽 大 學(xué)課程設(shè)計(jì)說明書

2、 no.2一、 設(shè)計(jì)方案論證1、概述 由集成運(yùn)放構(gòu)成的方波和三角波發(fā)生器的電路形式很多,但通常由滯回比較器和積分電路構(gòu)成。按積分電路的不同,又可以分為兩種類型:一類是由普通的rc積分電路組成,另一類由恒流充放電的積分電路和滯回比較器組成。 常用的方波和三角波發(fā)生電路是由集成運(yùn)放組成的積分器和滯回比較器組成的,由于采用了由集成運(yùn)算放大器組成弄鬼的積分器,電容c始終處在恒流充放電狀態(tài),使三角波和方波的性能得到很大的改善,不僅能得到線性度較理想的三角波,而且也便于調(diào)節(jié)振蕩頻率和幅度。2、 工作原理:假設(shè)t=0時(shí)積分電容上的初始電壓為零,而滯回比較器輸出端為高電平,即uo1=+uz。因集成運(yùn)放a1同相

3、輸入端的電壓u+同時(shí)與uo1和uo有關(guān),根據(jù)疊加定理可得 工作原理框架圖13、原理論證 沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.3 圖2 方波三角波發(fā)生電路原理圖可知:方波和三角波的振蕩頻率相同,其值為 方波的輸出幅度由3穩(wěn)壓管dz決定,方波經(jīng)積分后得到三角波,因此三角波輸出幅度為 其中uz為穩(wěn)壓管的電壓三角波的振蕩周期為根據(jù)題目設(shè)計(jì)要求,輸出波形頻率需400hz,幅度2v,因此設(shè)置元件參數(shù)見原理圖。二、單元電路設(shè)計(jì)過程1、單元電路結(jié)構(gòu)方波三角波發(fā)生電路主要由以下兩部分組成圖3. 矩形波發(fā)生電路沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.4圖4. 積分電路2、選定儀器列表表1:儀器列表結(jié)構(gòu)名稱符號(hào)標(biāo)識(shí)個(gè)數(shù)

4、滯回比較器電阻r1、r2、r33個(gè)集成運(yùn)放a1個(gè)穩(wěn)壓管d1、d22個(gè)積分電路電阻r4、r52個(gè)集成運(yùn)放b1個(gè)電容c1個(gè)三、仿真原理圖1、用dxp軟件繪制的仿真原理圖如下:沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.5 圖5 用dxp軟件繪制的仿真原理圖2、仿真元件列表表2.方波及三角波電路元件表元件名稱標(biāo)號(hào)說明參數(shù)型號(hào)所屬元件庫lm324nua集成運(yùn)算放大器ti operational amplifier i .intlibubin5233bd1穩(wěn)壓管6vfsc discrete diode.intlibd2res2r1電阻40kmiscellaneous devicesintlibr240kr32k

5、r430kr530kcapc電容0.02fvsrcvcc直流電源15vvee-15v沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.6已知:r1=40k,r2=40k,r3=2k, r4=30k,r5=30k,f =400hz由已知計(jì)算電容cf =1/t t=2.4msc=t *r2 / (4 *r1* r4) = 0.02u四、仿真分析方案1、仿真類型及參數(shù)選擇的仿真類型是瞬態(tài)分析針對(duì)瞬態(tài)分析主要包括以下特點(diǎn):它用于求電路的時(shí)域響應(yīng);在其中可以設(shè)置start time ,end time,step time,max step time等。而且最重要的是它的分析結(jié)果是以波形圖的形式顯示,這一點(diǎn)符合本設(shè)計(jì)要

6、求。11創(chuàng)建設(shè)計(jì)項(xiàng)目和原理圖文件創(chuàng)建原理圖文件:filenewschematic12設(shè)置圖紙參數(shù)執(zhí)行designdocument options 設(shè)置土著為白色,不顯示標(biāo)題欄和可見柵格,采用用戶設(shè)置圖紙規(guī)格,寬100,高400,縱向和橫向分區(qū)數(shù)均為413安裝元件庫(1)單擊窗口底部libraries標(biāo)簽(2)在庫管理面板上的庫類型選中components(3)打開available libraries,單擊install 安裝library目錄下的simulation sources.intlab、lt operational amplifier.intlib、miscellaneous de

7、vices.intlib和fsc discrete diode.intlib 。14參數(shù)值設(shè)置雙擊res1對(duì)象,打開其屬性設(shè)置對(duì)話框,在designator改標(biāo)號(hào),location改元件位置,在paramenters內(nèi)改變阻值。針對(duì)本設(shè)計(jì)選擇的瞬態(tài)分析參數(shù)是:起始時(shí)間為0 終止時(shí)間為10ms 步長(zhǎng)為20ns 最大步長(zhǎng)為200ns沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.7 圖62、仿真分析步驟:(1)執(zhí)行designsimulatemixsisim命令,打開仿真分析參數(shù)設(shè)置對(duì)話框(2)在分析選項(xiàng)中選擇所需的分析類型(3)單擊選擇的分析類型打開參數(shù)設(shè)置對(duì)話框,根據(jù)需要設(shè)置分析參數(shù)(4)在基本參數(shù)設(shè)置頁

8、中選擇采集數(shù)據(jù)范圍,生成網(wǎng)絡(luò)表范圍,仿真結(jié)果輸出設(shè)置,并根據(jù)需要從可用信號(hào)欄中選擇信號(hào)添加到活動(dòng)信號(hào)欄(5)設(shè)置完畢后單擊ok按鈕關(guān)閉對(duì)話框(6)運(yùn)行仿真,自動(dòng)進(jìn)入仿真結(jié)果顯示環(huán)境(7)根據(jù)需要選擇合適的顯示模式,調(diào)用測(cè)量數(shù)據(jù)3、仿真分析圖7. 瞬態(tài)分析仿真結(jié)果(矩形波)沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.8選擇的仿真類型是瞬態(tài)分析針對(duì)瞬態(tài)分析主要包括以下特點(diǎn):它用于求電路的時(shí)域響應(yīng);在其中可以設(shè)置start time ,end time,step time,max step time等。而且最重要的是它的分析結(jié)果是以波形圖的形式顯示,這一點(diǎn)符合本設(shè)計(jì)要求 圖8 圖9 圖10. 瞬態(tài)分析仿真結(jié)

9、果(三角波)沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.9 圖11 圖123、 數(shù)據(jù)記錄表三:數(shù)據(jù)記錄表觀察點(diǎn)波形幅值頻率vo16.38v3934hzvo26.56v3934hz五、測(cè)試驗(yàn)證結(jié)果與分析測(cè)試結(jié)果:幅值為 6.5676v 周期 1/393.4=2.5ms計(jì)算結(jié)果:uz=6v uo1=uz,u+=0,uom=uo根據(jù)疊加原理可得,uomr2(r1+r2)- r2(r1+r2) uz=0三角波輸出幅值為 uom= (r1r2)uz=6v周期為 t=2.4ms測(cè)試結(jié)果與計(jì)算結(jié)果相接近,仿真是成功的。六、印制電路板圖:(1)在原理圖中執(zhí)行designnetlist for documentprot

10、el命令,生成網(wǎng)絡(luò)表文件。(2)創(chuàng)建并打開印制電路板文件,設(shè)置工作層沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.10(3)調(diào)入網(wǎng)絡(luò)表(4)元件布局(5)自動(dòng)布線(6)以三維方式顯示設(shè)計(jì) 圖13.布線結(jié)果 圖14.以三維方式顯示設(shè)計(jì)七、誤差分析仿真軟件的元器件值不可能絕對(duì)的精確造成仿真結(jié)果會(huì)有一定的非可見誤差,另外參數(shù)的設(shè)置問題以及小數(shù)點(diǎn)的取舍都是影響結(jié)果的因素,而且人眼觀察也是有一定的誤差的,因此仿真結(jié)果會(huì)與理論值有一定差別也是可以允許的。八、設(shè)計(jì)體會(huì)沈 陽 大 學(xué)課程設(shè)計(jì)說明書 no.11電子線路制圖課程設(shè)計(jì)使我們稍懂的了如何使用dxp這種仿真軟件,protel dxp的仿真分析功能,可以為模擬電子電路,數(shù)字電子電路進(jìn)行靜態(tài)工作點(diǎn)分析、瞬態(tài)分析,培養(yǎng)了我們的學(xué)習(xí)興趣和電子制圖的工程意識(shí),尤其在印制電路板方面更加理解了書本上的知識(shí)。九、參考文獻(xiàn)【

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論