基于FPGA的LED1616點(diǎn)陣漢字顯示設(shè)計(jì)_第1頁
基于FPGA的LED1616點(diǎn)陣漢字顯示設(shè)計(jì)_第2頁
基于FPGA的LED1616點(diǎn)陣漢字顯示設(shè)計(jì)_第3頁
基于FPGA的LED1616點(diǎn)陣漢字顯示設(shè)計(jì)_第4頁
基于FPGA的LED1616點(diǎn)陣漢字顯示設(shè)計(jì)_第5頁
已閱讀5頁,還剩19頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、畢畢業(yè)業(yè)設(shè)設(shè)計(jì)計(jì)(論論文文)任任務(wù)務(wù)書書 專業(yè)專業(yè) 班級(jí)班級(jí) 姓名姓名 一、課題名稱: 基于 FPGA 的 LED 1616 點(diǎn)陣漢字顯示設(shè)計(jì) 二、主要技術(shù)指標(biāo): 1. 本系統(tǒng)利用數(shù)字系統(tǒng)設(shè)計(jì)自動(dòng)化(EDA)技術(shù)實(shí)現(xiàn)了全硬件方式的 LED 點(diǎn)陣顯示; 利用 EDA 工具 軟件 Muxplus編寫 VHDL 程序; 2. 實(shí)現(xiàn)任意漢字顯示; 3. 實(shí)現(xiàn)任意漢字的滾動(dòng)顯示; 4. 進(jìn)行下載仿真 。 3、工作內(nèi)容和要求: 1. 理解并確認(rèn)畢業(yè)設(shè)計(jì)任務(wù)書; 2. 撰寫完成畢業(yè)設(shè)計(jì)開題報(bào)告并經(jīng)指導(dǎo)教師審核通過; 3. 學(xué)習(xí)并掌握 FPGA 的程序設(shè)計(jì); 4. 確定并完成方案論證; 5. VHDL 程序的

2、編寫; 6. EDA 實(shí)驗(yàn)箱上進(jìn)行調(diào)試; 7. 實(shí)現(xiàn)漢字滾動(dòng)顯示。 四、主要參考文獻(xiàn): 1. 綜合電子設(shè)計(jì)與實(shí)踐,王振紅,清華大學(xué)出版社,2008 年 9 月第 2 版; 2. EDA 實(shí)用技術(shù)及應(yīng)用,劉艷萍,國防工業(yè)出版社,2006 年第 1 版。 學(xué) 生(簽名) 年 月 日 指 導(dǎo) 教師(簽名) 年 月 日 教研室主任(簽名) 年 月 日 系 主 任(簽名) 年 月 日 畢業(yè)設(shè)計(jì)(論文)開題報(bào)告畢業(yè)設(shè)計(jì)(論文)開題報(bào)告 設(shè)計(jì)(論文)題設(shè)計(jì)(論文)題 目目 基于 FPGA 的 LED 1616 點(diǎn)陣漢字顯示設(shè)計(jì) 一、選題的背景和意義: LED 點(diǎn)陣顯示屏是集微電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理技

3、術(shù)于一體的大型顯示屏系統(tǒng)。它 以其色彩鮮艷,動(dòng)態(tài)范圍廣,亮度高,壽命長,工作穩(wěn)定可靠等優(yōu)點(diǎn)而成為眾多顯示媒體以及戶 外作業(yè)顯示的理想選擇。受到體育場館用 LED 顯示屏需求快速增長的帶動(dòng),近年來,中國 LED 顯示屏應(yīng)用逐步增多。目前,LED 已經(jīng)廣泛應(yīng)用在銀行、火車站、廣告、體育場館之中。而隨著 奧運(yùn)會(huì)、世博會(huì)的臨近,LED 顯示屏將廣泛的應(yīng)用在體育場館以及道路交通指示中,LED 顯示 屏在體育廣場中的應(yīng)用將出現(xiàn)快速增長。 因此,本設(shè)計(jì)是很有必要的,之所以基于 FPGA 設(shè)計(jì)是因?yàn)楝F(xiàn)場可編程門陣列(FPGA)設(shè) 計(jì)周期小,靈活度高,適合用于小批量系統(tǒng),提高系統(tǒng)的可靠性和集成度。并且采用編寫靈

4、活的 VHDL 語言編寫主程序。本設(shè)計(jì)可以方便的應(yīng)用到各類廣告宣傳中。 二、課題研究的主要內(nèi)容: 1. 實(shí)現(xiàn) 1616 點(diǎn)陣的漢字顯示; 2. 實(shí)現(xiàn)有限漢字顯示; 4. 實(shí)現(xiàn)漢字的滾動(dòng)顯示; 5. 完成方案論證。 三、主要研究(設(shè)計(jì))方法論述: 通過去圖書館查閱書籍收集資料,同時(shí)在搜索引擎上檢索資料,分析借鑒已有類似產(chǎn)品、設(shè) 計(jì)方案與成功經(jīng)驗(yàn),選擇幾種可行方案比對(duì),最后確定最切實(shí)可行的方案展開設(shè)計(jì)。 通過 Multisim 或 Quartus 軟件對(duì)系統(tǒng)進(jìn)行模擬仿真,對(duì)電路功能進(jìn)行改進(jìn)與完善。 在 EDA 試驗(yàn)箱上進(jìn)行調(diào)試。 四、設(shè)計(jì)(論文)進(jìn)度安排: 時(shí)間(迄止日期)工 作 內(nèi) 容 2010

5、.5.17-5.23 (第 1 周) 理解并確認(rèn)畢業(yè)設(shè)計(jì)任務(wù)書,撰寫完成畢業(yè)設(shè)計(jì)開題報(bào)告 2010.5.24-5.30 (第 2 周) 完成調(diào)研與資料收集、整理 2010.5.31-6.6 (第 3 周) 設(shè)計(jì)方案及原理框圖確定 2010.6.7-7.4 (第 4、5、6、7 周) 電路資料收集,單元電路設(shè)計(jì) 2010.7.5-7.18 (第 8、9 周) 電路仿真與改進(jìn)、完善 2010.19-8.1 (第 10、11 周) 資料整理 2010.8.2-8.8 (第 12 周) 書寫畢業(yè)設(shè)計(jì)報(bào)告 2010.8.9-8.16 (第 13 周) 修改畢業(yè)設(shè)計(jì)報(bào)告并整理裝訂 五、指導(dǎo)教師意見: 指導(dǎo)

6、教師簽名: 年 月 日 六、系部意見: 系主任簽名: 年 月 日 目錄目錄 摘要摘要 ABSTRACT 第一章第一章 前言前言.1 1 1.1 本設(shè)計(jì)的研究背景和研究目的 .1 1.2 LED 點(diǎn)陣顯示特點(diǎn).1 1.3 FPGA 設(shè)計(jì)的特點(diǎn).1 第二章第二章 系統(tǒng)設(shè)計(jì)系統(tǒng)設(shè)計(jì).3 3 2.1 設(shè)計(jì)任務(wù)與要求.3 2.1.1 設(shè)計(jì)任務(wù).3 2.1.2 設(shè)計(jì)要求.3 2.2 設(shè)計(jì)原理.3 2.2.1 總體設(shè)計(jì)方案.3 2.2.2 方案的比較.4 2.3 掃描控制模塊.4 2.3.1 LED 的顯示原理.4 2.3.2 漢字的存儲(chǔ).5 2.4 漢字顯示 .5 2.4.1 列循環(huán)掃描.5 2.4.2

7、字符樣式設(shè)計(jì).6 2.4.3 字母循環(huán)掃描及期間的延時(shí)環(huán)節(jié).9 2.5 整個(gè)完整的程序 .9 第三章第三章 系統(tǒng)調(diào)試與仿真系統(tǒng)調(diào)試與仿真.1414 3.1 開發(fā)環(huán)境介紹.14 3.2 調(diào)試與仿真.14 3.2.1 創(chuàng)建工程 .14 3.2.2 編譯前設(shè)置 .14 3.2.3 全程編譯 .16 3.2.4 時(shí)序仿真 .17 第四章第四章 結(jié)束語結(jié)束語.1818 答謝辭答謝辭.1818 參考文獻(xiàn)參考文獻(xiàn).1818 摘要 主要研究基于 VHDL 的 Led 點(diǎn)陣漢字滾動(dòng)顯示。首先描述了基于現(xiàn)場可編程門陣 (FPGA)的硬件電路,以及點(diǎn)陣顯示漢字的原理;然后在單個(gè) 16X16 LED 發(fā)光二極管點(diǎn) 陣

8、上滾動(dòng)漢字的原理;最后給出了描述其功能的 VHDL 語言程序設(shè)計(jì)方法。通過編程、 調(diào)試、仿真、下載正確地實(shí)現(xiàn)了漢字滾動(dòng)顯示掃描結(jié)果,其硬件系統(tǒng)的實(shí)驗(yàn)驗(yàn)證也獲 得了與軟件模擬仿真結(jié)論相吻合的結(jié)果。 關(guān)鍵詞關(guān)鍵詞:LED 點(diǎn)陣;FPGA;VHDL 語言;漢字滾動(dòng)顯示 Abstract Primary research is based on VHDL, Led Scrolling dot matrix characters. First described based on field programmable gate array (FPGA) hardware circuit, as well

9、 as the principle character dot matrix display; and then in a single 16X16 LED scrolling LED dot matrix on the principles of Chinese characters; Finally, the VHDL description language program of its functions design. Through programming, debugging, simulation, download the correct character scroll a

10、chieved scan results, the experimental verification of its hardware and software are also obtained findings consistent with simulation results. Keywords: LED dot-matrix; FPGA; VHDL language; character scrolling displa 第一章 前言 1.1 本設(shè)計(jì)的研究背景和研究目的 受到體育場館用 LED 顯示屏需求快速增長的帶動(dòng),近年來,中國 LED 顯示屏應(yīng)用 逐步增多。目前,LED 已經(jīng)廣

11、泛應(yīng)用在銀行、火車站、廣告、體育場館之中。而隨著 世博會(huì)的臨近,LED 顯示屏將廣泛的應(yīng)用在體育場館以及道路交通指示中,LED 顯示屏 在體育廣場中的應(yīng)用將出現(xiàn)快速增長。 目前,國內(nèi)從事 LED 顯示屏生產(chǎn)的企業(yè)眾多,同時(shí),受到外資企業(yè) LED 顯示屏價(jià) 格過高的影響,在中國 LED 顯示屏市場上多以本土企業(yè)為主。目前,本土 LED 顯示屏 生產(chǎn)企業(yè)除供應(yīng)國內(nèi)需求外,還不斷把產(chǎn)品出口到國外市場。而近年來,受到成本壓 力的影響,國際上一些知名的 LED 顯示屏企業(yè)也逐步把 生產(chǎn)基地移到了中國,如巴可 在北京設(shè)立了顯示屏生產(chǎn)基地,Lighthouse 在惠州也擁有生產(chǎn)基地,Daktronics、萊

12、 茵堡都在國內(nèi)設(shè)立了生產(chǎn)工廠。隨著國際 LED 顯示屏生產(chǎn)大廠不斷把生產(chǎn)基地轉(zhuǎn)移至 國內(nèi), 加之國內(nèi)眾多的 LED 顯示屏本土企業(yè),中國正在成為全球 LED 顯示屏的主要生 產(chǎn)基地。 因此研究 LED 漢字滾動(dòng)顯示屏的設(shè)計(jì)方法具有重要的理論和現(xiàn)實(shí)意義。 隨著我國經(jīng)濟(jì)的高速發(fā)展,對(duì)公共場合發(fā)布信息的需求日益增長,利用 LED 點(diǎn)陣滾 動(dòng)顯示漢字的出現(xiàn)正好適應(yīng)了這一市場需求,已經(jīng)成為信息傳播的一種重要手段。 采用傳統(tǒng)方法設(shè)計(jì)的漢字滾動(dòng)顯示器,通常需要使用單片機(jī)、存儲(chǔ)器和制約邏輯 電路來進(jìn)行 PCB 板級(jí)的系統(tǒng)集成。盡管這種方案有單片機(jī)軟件的支持較為靈活,但是 由于受硬件資源的限制,未來對(duì)設(shè)計(jì)的變更

13、和升級(jí),總是難以避免要付出較多研發(fā)經(jīng) 費(fèi)和較長投放市場周期的代價(jià)。隨著電子設(shè)計(jì)自動(dòng)化(EDA)技術(shù)的進(jìn)展,基于可編程 FPGA 器件進(jìn)行系統(tǒng)芯片集成的新設(shè)計(jì)方法,也正在快速地到代基于 PCB 板的傳統(tǒng)設(shè)計(jì) 方式。因此,本設(shè)計(jì)的研究是很有必要的,之所以基于 FPGA 設(shè)計(jì)是因?yàn)楝F(xiàn)場可編程門 陣列(FPGA)設(shè)計(jì)周期小,靈活度高,適合用于小批量系統(tǒng),提高系統(tǒng)的可靠性和集 成度。并且采用編寫靈活的 VHDL 語言編寫主程序。 1.2 LED 點(diǎn)陣顯示特點(diǎn) (1)可以顯示各種數(shù)字、文字、圖表、曲線、圖形; (2)采用純紅、高綠作雙基色發(fā)光器件,發(fā)光亮度高,色彩鮮艷、豐富; (3)顯示效果清晰、穩(wěn)定、功

14、耗低、壽命長; (4)優(yōu)質(zhì)鋁合金結(jié)構(gòu),磨沙、銀鏡或鈦金不銹鋼包邊。尺寸和規(guī)格可根據(jù)需要靈 活組合; (5)支持各種計(jì)算機(jī)網(wǎng)絡(luò),編輯軟件豐富、易用; (6)適用于室內(nèi)、外所有信息發(fā)布及廣告宣傳場所。如:銀行、證券交易所、商 場、市場、賓館、灑樓、電信、郵政、醫(yī)院、車站、機(jī)場等。 1.3 FPGA 設(shè)計(jì)的特點(diǎn) FPGA 通常被認(rèn)為是 ASIC 實(shí)現(xiàn)的一種替代手段. 一般 ASIC 包括三種, 既全定制、 半定制(含標(biāo)準(zhǔn)單元和門陣列) 以及可編程器件。對(duì)于前兩種, 需要支付不可重復(fù)使用 的工程費(fèi)用 NRE (Non recurring Engineering) , 主要用于芯片的流片、中測、分析 的

15、工程開銷, 一次費(fèi)用一般在 1 萬至數(shù)萬美元以上。如果一次不成功、返工、甚至多 次返工,NRE 費(fèi)用將要上升。成本高、風(fēng)險(xiǎn)大, 而通常對(duì)每個(gè) ASIC 品種的需求量往往 不大,NRE 費(fèi)用分?jǐn)偟矫總€(gè)產(chǎn)品上價(jià)太高, 用戶無法接受。而對(duì)于可編程器件 PLD (Programmable Logic Device) 正是可以解決上述問題的新型 ASIC, PLD 以其操作靈 活、使用方便、開發(fā)迅速、投資風(fēng)險(xiǎn)小等突出優(yōu)點(diǎn), 特別適合于產(chǎn)品開發(fā)初期、科研 樣品研制或小批量的產(chǎn)品. FPGA 是一種新型的 PLD, 其除了具有 PLD 的優(yōu)點(diǎn)外, 其規(guī) 模比一般的 PLD 的規(guī)模大。目前,Xilinx 推出

16、的 XC4025 可以達(dá)到 25000 門的規(guī)模, Altera 公司的 FLEX10K100 系列芯片可達(dá)到十萬門的規(guī)模,完全可以滿足用戶的一般 設(shè)計(jì)需要。 FPGA 的主要特點(diǎn)是: 寄存器數(shù)目多, 采用查找表計(jì)數(shù),適合時(shí)序邏輯設(shè)計(jì)。 但是 互連復(fù)雜, 由于互連采用開關(guān)矩陣,因而使得延時(shí)估計(jì)往往不十分準(zhǔn)確。 FPGA 也有其自身的局限性, 其一就是器件規(guī)模的限制,其二就是單元延遲比較大。 所以, 在設(shè)計(jì)者選定某一 FPGA 器件后, 要求設(shè)計(jì)者對(duì)器件的結(jié)構(gòu)、性能作深入的了 解, 在體系結(jié)構(gòu)設(shè)計(jì)時(shí), 就必須考慮到器件本身的結(jié)構(gòu)及性能, 盡可能使設(shè)計(jì)的結(jié)構(gòu) 滿足器件本身的要求. 這樣就增加了設(shè)計(jì)

17、的難度。 離開對(duì) FPGA 結(jié)構(gòu)的詳細(xì)了解, 設(shè)計(jì)人員就不可能優(yōu)化設(shè)計(jì)。因而設(shè)計(jì)人員必須 了解 FPGA 器件的特性和限制, 熟悉 FPGA 的結(jié)構(gòu)。 在了解 FPGA 結(jié)構(gòu)特點(diǎn)的基礎(chǔ)上, 就可以利用 VHDL 語言描寫出高效的電路描述實(shí) 現(xiàn)性能優(yōu)化的電路。 第二章 系統(tǒng)設(shè)計(jì) 2.1 設(shè)計(jì)任務(wù)與要求 2.1.1 設(shè)計(jì)任務(wù) (1)設(shè)計(jì)一個(gè) 1616 的 LED 點(diǎn)陣顯示器; (2)在設(shè)計(jì)過程中,EDA 試驗(yàn)箱進(jìn)行仿真調(diào)試。 2.1.2 設(shè)計(jì)要求 (1)輸出預(yù)定義“王、日、田、口”四個(gè)漢字; (2)輸出漢字循環(huán)顯示; (3)操作方便、可維護(hù)性高; (4)程序簡捷,便于修改。 2.2 設(shè)計(jì)原理 2.

18、2.1 總體設(shè)計(jì)方案 方案一:本設(shè)計(jì)所使用的 1616 的點(diǎn)陣,EDA 實(shí)驗(yàn)箱上有其接口電路,列選信號(hào) 為 SEL0,SEL1,SEL2,SEL3,經(jīng) 4 線 16 線譯碼器輸出 16 列,從左起為第一列,列選信 號(hào)是由一個(gè) 4 位向量 SEL3.0控制;行選信號(hào)為 H0H15,是由 16 個(gè)行信號(hào)組成的, 每一行由一個(gè)單獨(dú)的位來控制,高電平有效。例如“0000”表示第 0 列, “00001”表示 第一行的點(diǎn)亮。由于列是由一個(gè)向量決定,而每一時(shí)刻的值只能有一個(gè)固定的值,因而 只能使某一列的若干個(gè)點(diǎn)亮,因此就決定了只能用逐列掃描的方法。例如要使第一列的 2,4,6,8,行亮,則列為“0001”

19、 、行為“01010”就可以實(shí)現(xiàn)了。 方案二:VHDL 程序設(shè)計(jì)的是硬件,他和編程語言的最大區(qū)別是它可以“并發(fā)執(zhí)行” 。本設(shè)計(jì)可以將 LED 顯示屏要的顯示內(nèi)容抽象成一個(gè)二維數(shù)組(數(shù)組中的1對(duì)映點(diǎn) 陣顯示屏上面的亮點(diǎn)),用 VHDL 語言設(shè)計(jì)一個(gè)進(jìn)程將這個(gè)數(shù)組動(dòng)態(tài)顯示在 LED 顯示屏 上,再利用另一個(gè)進(jìn)程對(duì)這個(gè)數(shù)組按一定頻率進(jìn)行數(shù)據(jù)更新,更新的方式可以有多種。 因?yàn)閮蓚€(gè)進(jìn)程是同時(shí)進(jìn)行的(并發(fā)執(zhí)行),如果對(duì)數(shù)組中的漢字?jǐn)?shù)據(jù)按滾動(dòng)的方式更新, 則可實(shí)現(xiàn)漢字的滾動(dòng)顯示。如圖 2-1 為該方案原理圖。 更新數(shù)組數(shù)據(jù)二維數(shù)組動(dòng)態(tài)顯示 圖圖 2-1 方案二原理方案二原理圖圖 2.2.2 方案的比較 方案

20、一很容易實(shí)現(xiàn),而且占用 FPGA 的資源較少。但是由于其實(shí)現(xiàn)方式的局限性, 該方案只能實(shí)現(xiàn)漢字的滾動(dòng)顯示。方案二中將 LED 點(diǎn)陣抽象成了一個(gè)二維數(shù)組??梢?設(shè)計(jì)一些比較復(fù)雜的算法來控制這個(gè)數(shù)組,使設(shè)計(jì)的系統(tǒng)不但可以滾動(dòng)顯示漢字,還 可以擴(kuò)展一些其它的顯示效果。但是方案二中對(duì)數(shù)組的處理部分對(duì) FPGA 芯片的資源消 耗太大學(xué)校實(shí)驗(yàn)室里的 EPF10K10LC84-4 芯片只有 576 個(gè)邏輯單元遠(yuǎn)遠(yuǎn)不夠設(shè)計(jì)要求。 所以最終選擇方案一。 2.3 掃描控制模塊 2.3.1 LED 的顯示原理 1616 掃描 LED 點(diǎn)陣的工作原理同 8 位掃描數(shù)碼管類似。它有 16 個(gè)共陰極輸出端 口,每個(gè)共陰極

21、對(duì)應(yīng)有 16 個(gè) LED 顯示燈,所以其掃描譯碼地址需 4 位信號(hào)線(SEL0- SEL3) ,其漢字掃描碼由 16 位段地址(0-15)輸入。 通過時(shí)鐘的每列掃描顯示完整漢 字。 圖圖 2-2 LED 燈燈紅綠紅綠信號(hào)信號(hào) 圖圖 2-3 1616 點(diǎn)點(diǎn)陣陣 LED 等效等效電電路路 點(diǎn)陣 LED 一般采用掃描式顯示,實(shí)際運(yùn)用分為三種方式: (1)點(diǎn)掃描 (2)行掃描 (3)列掃描 若使用第一種方式,其掃描頻率必須大于 1664=1024Hz,周期小于 1ms 即可。若 使用第二和第三種方式,則頻率必須大于 168=128Hz,周期小于 7.8ms 即可符合視覺 暫留要求。此外一次驅(qū)動(dòng)一列或一

22、行(8 顆 LED)時(shí)需外加驅(qū)動(dòng)電路提高電流,否則 LED 亮度會(huì)不足。 2.3.2 漢字的存儲(chǔ) 用動(dòng)態(tài)分時(shí)掃描技術(shù)使 LED 點(diǎn)陣模塊顯示圖像,需要進(jìn)行兩步工作。第一步是獲 得數(shù)據(jù)并保存,即在存貯器中建立漢字?jǐn)?shù)據(jù)庫。第二步是在掃描模塊的控制下,配合 行掃描的次序正確地輸出這些數(shù)據(jù)。獲得圖像數(shù)據(jù)的步驟是,先將要顯示的每一幅圖 像畫在一個(gè)如圖 3.3 所示的被分成 1616 共 256 個(gè)小方格的矩形框中,再在有筆劃下 落處的小方格里填上“1”,無筆劃處填上“0”,這樣就形成了與這個(gè)漢字所對(duì)應(yīng)的 二進(jìn)制數(shù)據(jù)在該矩形框上的分布,再將此分布關(guān)系以 3216 的數(shù)據(jù)結(jié)構(gòu)組成 64 個(gè)字 節(jié)的數(shù)據(jù),并保

23、存在只讀存貯器 ROM 中。以這種方式將若干個(gè)漢字的數(shù)據(jù)貯存在存貯 器內(nèi),就完成了圖像數(shù)據(jù)庫的建立工作。 2.4 漢字顯示 漢字顯示使用的是 1616 的點(diǎn)陣,EDA 實(shí)驗(yàn)箱上有其接口電路,列選信號(hào)為 SEL0,SEL1,SEL2,SEL3,經(jīng) 4 線 16 線譯碼器輸出 16 列,從左起為第一列,列選信號(hào)是 由一個(gè) 4 位向量 SEL3.0控制;行選信號(hào)為 H0H15,是由 16 個(gè)行信號(hào)組成的,每一 行由一個(gè)單獨(dú)的位來控制,高電平有效。例如“0000”表示第 0 列, “00001”表示第一 行的點(diǎn)亮。由于列是由一個(gè)向量決定,而每一時(shí)刻的值只能有一個(gè)固定的值,因而只能 使某一列的若干個(gè)點(diǎn)亮

24、,因此就決定了只能用逐列掃描的方法。例如要使第一列的 2,4,6,8,行亮,則列為“0001” 、行為“01010”就可以實(shí)現(xiàn)了。 下面是各個(gè)部分的程序設(shè)計(jì): 2.4.1 列循環(huán)掃描 列循環(huán)掃描 通過對(duì)每一列的掃描來完成對(duì)字母的現(xiàn)實(shí),只要掃描的頻率足夠快,就能給人以連 續(xù)的感覺。因此要控制掃描的頻率,不能太低,否則,就會(huì)造成視覺上的不連續(xù),本設(shè) 計(jì)的掃描頻率不得低于 50Hz,掃描程序如下: 圖圖 2-4 1616LED 點(diǎn)點(diǎn)陣陣模模塊塊 Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; En

25、tity dz_xs is Port(enable,clk:in std_logic; -端口定義 輸入信號(hào) Sel:out std_logic_vector(3 downto 0); -端口定義 輸出信號(hào) End dz_xs; Architecture count of dz_xs is Signal lie:std_logic_vector(3 downto 0); Begin Process(clk,enable) -脈沖、使能信號(hào) begin If clkevent and clk=1then If enable=1 then If lie0000 then Lie=lie-0001;

26、 Else Lie=1111; End if; End if; End if; Sel Case lie is -“王”字設(shè)計(jì) When 0011=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8 Case lie is -“日”字設(shè)計(jì) When0101=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8 Case lie is -“田”字設(shè)計(jì) When0100=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;

27、h8h0=;h8h0=;h8 Case lie is -“口”字設(shè)計(jì) When0101=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8=; End case; End if; End if; End process; 2.4.3 字母循環(huán)掃描及期間的延時(shí)環(huán)節(jié) 為使字母不斷地循環(huán)顯示,并且使每個(gè)字母之間有停頓,就需要在中間加一定的延 時(shí)和循環(huán)環(huán)節(jié)。在這一環(huán)節(jié)中,可以通過修改其數(shù)值來控制每個(gè)字母的顯示時(shí)間。 其程序如下: process(clk) variable int: integer range 0 to 10000; begin

28、 if clkevent and clk=1then if int10000 then int:=int+1; else int:=0; if next1=”11”then next1=”00”; else next10000 then Lie=lie-0001; Else Lie=1111; End if; End if; End if; Sel Case lie is When 0011=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8 Case lie is When0101=h0=;h8h0

29、=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8 Case lie is When0100=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8 Case lie is When0101=h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8h0=;h8=; End case; End if; End if; End process; a3:process(clk) variable int: integer range 0 to 10000; begi

30、n if clkevent and clk=1then if int10000 then int:=int+1; else int:=0; if next1=”11”then next1=”00”; else next1= next1+1; end if; end if; end if; end process; end count; 第三章 系統(tǒng)調(diào)試與仿真 3.1 開發(fā)環(huán)境介紹 Quartus II 是 Altera 公司的綜合性 PLD 開發(fā)軟件,支持原理圖、VHDL、Veril- ogHDL 以及 AHDL(Altera Hardware Description Language)等多種

31、設(shè)計(jì)輸入形式, 內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整 PLD 設(shè)計(jì)流程。 Quartus II 支持 Altera 的 IP 核,包含了 LPM/MegaFunction 宏功能模塊庫, 使用戶可以充分利用成熟的模塊,簡化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。對(duì)第三 方 EDA 工具的良好支持也使用戶可以在設(shè)計(jì)流程的各個(gè)階段使用熟悉的第三方 EDA 工具。 此外,Quartus II 通過和 DSP Builder 工具與 Matlab/Simulink 相結(jié)合,可 以方便地實(shí)現(xiàn)各種 DSP 應(yīng)用系統(tǒng);支持 Altera 的片上可編程系統(tǒng)( SOPC)開發(fā), 集系統(tǒng)級(jí)設(shè)計(jì)、嵌入式軟件開發(fā)、可編程邏輯設(shè)計(jì)于一體,是一種綜合性的開發(fā)平 臺(tái)。 3.2 調(diào)試與仿真 3.2.1 創(chuàng)建工程 在 Quartus II 中新建一個(gè) VHDL File 文件,將 VHDL 代碼輸入這個(gè)文件,并 保存到工作目錄,名為 yz_ok.vhd。 利用 new preject wizard 工具創(chuàng)建一個(gè)工程,工程名為 yz_ok,頂層文件實(shí) 體名為 yz_ok,并將上面創(chuàng)建的 yz_ok.vhd 文件加入到工程中。 3.2.2 編譯前設(shè)置 (1)選擇目標(biāo)芯片。用 assignmemts-settings 命令,彈出 settings 對(duì)話

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論