數(shù)字電路綜合實(shí)驗(yàn)-簡(jiǎn)易微波爐控制器分析解析_第1頁(yè)
數(shù)字電路綜合實(shí)驗(yàn)-簡(jiǎn)易微波爐控制器分析解析_第2頁(yè)
數(shù)字電路綜合實(shí)驗(yàn)-簡(jiǎn)易微波爐控制器分析解析_第3頁(yè)
數(shù)字電路綜合實(shí)驗(yàn)-簡(jiǎn)易微波爐控制器分析解析_第4頁(yè)
數(shù)字電路綜合實(shí)驗(yàn)-簡(jiǎn)易微波爐控制器分析解析_第5頁(yè)
已閱讀5頁(yè),還剩40頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、數(shù)字電路綜合實(shí)驗(yàn)一一簡(jiǎn)易微波爐控制器數(shù)字電路綜合實(shí)驗(yàn)姓名:XXX班級(jí):XXXX學(xué)號(hào):XXX班內(nèi)序號(hào):XXX1. 設(shè)計(jì)任務(wù)要求設(shè)計(jì)制作一個(gè)簡(jiǎn)易微波爐控制器。基本要求:1、微波爐的火力有大、中、小三檔可選。用一個(gè)按鍵實(shí)現(xiàn)火力的選擇,用點(diǎn)陣顯示火力檔位,點(diǎn)陣的顯示隨著按鍵的按下次數(shù)而變化,沒(méi)有選擇時(shí)默認(rèn)的火力為大。2、 微波加熱時(shí)間在 0-59分59秒之間可選。用4個(gè)按鍵分別設(shè)置加熱時(shí)間各位的長(zhǎng)度, 用數(shù)碼管顯示加熱時(shí)間。3、設(shè)置一個(gè)開(kāi)始鍵,按下此鍵后開(kāi)始加熱。加熱過(guò)程中,用數(shù)碼管倒計(jì)時(shí)顯示剩余時(shí) 間。4、加熱過(guò)程中,不能修改火力和加熱時(shí)間。5、加熱完成后蜂鳴器至少鳴響兩聲以提醒使用者加熱已結(jié)束,加

2、熱結(jié)束后回到等待狀 態(tài)。6、設(shè)置復(fù)位鍵,任何時(shí)候按下復(fù)位鍵可以取消加熱,回到等待狀態(tài),只有在等待狀態(tài) 下才可以修改火力和加熱時(shí)間。提高要求:1、 用8個(gè)LED燈作為加熱進(jìn)度顯示條,隨著加熱時(shí)間的增加勻速增加點(diǎn)亮LED燈的個(gè)數(shù),無(wú)論加熱時(shí)間是多長(zhǎng),最后都必須將8個(gè)LED燈全部點(diǎn)亮。2、增加燒烤功能,用一個(gè)鍵選擇微波或燒烤功能,用一個(gè)數(shù)碼管指示當(dāng)前處于微波還 是燒烤功能。3、燒烤火力和加熱時(shí)間要求與微波功能相同。4、自擬其它功能。-28 -2. 系統(tǒng)設(shè)計(jì)2.1設(shè)計(jì)思路led燈看到實(shí)驗(yàn)設(shè)計(jì)要求后,首先確定了需要使用的硬件,如數(shù)碼管、點(diǎn)陣、蜂鳴器、和按鍵等。然后再分出模塊來(lái),一步一步將功能分解成小模塊

3、,更容易實(shí)現(xiàn)每一步的功能, 容易仿真,不容易出錯(cuò),出錯(cuò)也好查。2.2總體框圖B H H B H B H開(kāi)始火力設(shè)置停止時(shí)間設(shè)置圖1硬件結(jié)構(gòu)框圖譯碼模塊時(shí)間顯示分頻模塊進(jìn)度條顯示點(diǎn)陣火力顯示控制器火力設(shè)置初始化模塊時(shí)間設(shè)置圖2模塊劃分點(diǎn)陣火力顯示揚(yáng)聲器進(jìn)度條顯示譯碼顯示-ff M富LI 毎rl tM 由 farxL -nfeI 辱 匸鄧由如 Hepjii Fk Ehwi引$irrHjMhi WvffQmnq:Si.wui,iR.i ul K-lfl: Tiv-anf母 lliil-M爭(zhēng)g LrgiJ NcticHH Sinwimr凹 iuffl-rtarj 爭(zhēng)亦F 迅M :-imuiwc 冴_|

4、 東rnulYu出N| uruLLjU財(cái) ItrtE,1氏眄呻.T3- scTJTyHTyjEiA回 回L7 IB ue22.LI atirLnjnjnjtnnjiTLrLrLrLrLrLrLnrLrLrumnrLnLrLrLrLffEn firxL(ETYCnTETjCInta i Uslbgi wc jiThIim- Silt Fi /#-3/&iBrtas.*Sui/ flFJCiilESC. vwfInEc: Wt丄=a Le pxuhfvb Xmt aiqnBl txKzaitlsaa to rrduzv 3Ksc=y iquixasEists La siMhlvdInfa: 53i

5、u3fsin MTEiTl-snHl daii J. w*Ei=qTH- FiIhir iFlsEaACCrttSMT KbIv : n.a332C_IiBi,R4i E1A n-ilicL Ir4. 沂jm h Piu3n|H| h E知皿 Wsi|9l * Wtv h C去汕Wnp A 2 h 百啊“人 g / 恥葉D“J_| *盂Far H-wIr prm Fl| 電fi |= I th圖6初始化文件仿真波形輸入為時(shí)鐘信號(hào)elk,時(shí)間設(shè)置信號(hào)s3s0,火力設(shè)置信號(hào)ffire。輸出波形為時(shí)間計(jì)數(shù)信號(hào)o4o1和火力大小信號(hào) firest。3.2減計(jì)數(shù)器倒計(jì)時(shí)模塊 jianjishu輸入為秒時(shí)

6、鐘信號(hào)elk,開(kāi)始start,復(fù)位res,時(shí)間輸入信號(hào)in4in1。輸出信號(hào)為時(shí)間輸出信號(hào)out4out1,蜂鳴器響起信號(hào)light和兩個(gè)倒計(jì)時(shí)結(jié)束信號(hào)c、d。3.3點(diǎn)陣顯示火力模塊firesP3C.0 nxL fl| hi3 WnxAnx5. T5 nxT町mB.3 m9鉀HItn. err mHi1Ik1r-L1111jL:.111r-uLT Jrai回fartttw13-fkmClj-lt iD4r5T0 X【叮KX【3】i: 141X 厲XTt1X 】住曰1ST-y 】1II-raT-ra7皿】H3 12TtitM廠-1a歸dl=加】斗TfriQi35曲3B營(yíng)”rkdCOl1l_4】日

7、yOlMi;LJ;X.t7 - 廠DO39yll-T420rrll4-6“卽ytllR5_L_冋沁3世25-.Ml4 I III|i f ITP*圖8點(diǎn)陣顯示火力模塊仿真波形(三色小火)輸入為時(shí)鐘信號(hào)elk,復(fù)位res,火力大小信號(hào)firee。輸出為行掃描信號(hào)r,紅色燈列向量red,黃色led燈列向量yellow。如果將圖順時(shí)針旋轉(zhuǎn)90,則可以看出red和yellow信號(hào)成一個(gè)小火苗狀,火苗左側(cè)為矮的小火力顯示條。LFlX斗s曲10llOL23 L33國(guó)L5IEa itS5。121OM2富0 25026 -性站可 記寧皿斤W毎車(chē)可30琴砒3L甲耐號(hào)翠砂汩辛酊芻咿LL回*日Bri4fTrt4C6

8、-rtiRrH3-ri42 r Tfr4.l -rHMId-ptllwP J -Fdle 層-yillar(4-ydlwELJ-ytllrOJ】 工 陽(yáng) 工口廠iirLnitT)C3JIt 1KJY tTJ_lILI1Liiiiii圖9點(diǎn)陣顯示火力模塊仿真波形(三色中火)輸入為時(shí)鐘信號(hào)elk,復(fù)位res,火力大小信號(hào)firee。輸出為行掃描信號(hào)r,紅色燈列向量red,黃色led燈列向量yellow。如果將圖順時(shí)針旋轉(zhuǎn)90,則可以看出red和yellow信號(hào)成一個(gè)小中苗狀,火苗左側(cè)為矮的中火力顯示條。圖10點(diǎn)陣顯示火力模塊件仿真波形(三色大火)輸入為時(shí)鐘信號(hào)elk,復(fù)位res,火力大小信號(hào)fir

9、ee。輸出為行掃描信號(hào)r,紅色燈列向量red,黃色led燈列向量yellow。如果將圖順時(shí)針旋轉(zhuǎn)90,則可以看出red和yellow信號(hào)成一個(gè)大火苗狀,火苗左側(cè)為矮的大力顯示條。(注意紅色red和黃色yellow重疊起來(lái)看!)3.4進(jìn)度條顯示模塊進(jìn)度條 pii.5(i ns3.睥 us5卑13T” 塀 uxLD 24 11. Wli.f TIEM 軍I U.TD曲11*DelkT1_-L_rn_rL-_r_rn_r1_T_LJ_l_Tflb L(U i*wrWi?國(guó) iF01.譯住 Q iZL7 BJ122S 曲127 S eJi.Jiv-3? a aEl elJ.L5 J:“)IT Y LE

10、 X SLX 怕】X曲 YTSrf T丁 i闊廠Blh m if亠ftnt密雀 Q ldd:inx3r門(mén)H5】DC311XI12T1X 固】Jr ld4447-ladd3O 粗 1U2Lo -Ieaa3廠O5GL血0圖11進(jìn)度條顯示模塊件仿真波形輸入為時(shí)鐘信號(hào)elk,復(fù)位res,設(shè)置的加熱時(shí)間i4i1,倒計(jì)時(shí)剩余時(shí)間c4c1。輸出為8個(gè)led燈向量ledd。從圖中可以看出,隨著剩余時(shí)間的減少,8個(gè)LED燈逐漸亮起。3.5蜂鳴器報(bào)警模塊beep輸入信號(hào)為elk時(shí)鐘信號(hào),十秒位歸零但秒位不歸零信號(hào)kzero,倒計(jì)時(shí)結(jié)束信號(hào)zero。當(dāng)兩個(gè)信號(hào)都被置1后,蜂鳴器報(bào)警3聲,然后停止報(bào)警。4.源程序4.

11、1頂層文件toplibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;en tity top isport(rest,clk1,miao0,miao1,fe nO,fen 1,startt,fire,st:i n std_logic;lighto:out stdo gic;row:out std_logic_vector(7 dow nto 0);sel:out std_logic_vector(5 dow nto 0);seg,redd,yel,led:out std_logic_vector(

12、7 dow nto 0);en d;architecture three of top iscomp onent fenpin isport(clk:in std_logic;-分頻outclk,outclk2:out std_logic);end comp onent;comp onent first isport(clk,res,s0,s1,s2,s3,ffire:in std_logic;-初始化數(shù)據(jù)o1,o2,o3,o4:out stdo gic_vector(3 dow nto 0);firest:out std_logic_vector(1 dow nto 0);end comp

13、onent;comp onent jianjishu isport(clks,start,res:i n std_logic;in 1,i n2,i n3,i n4:in std_logic_vector(3 dow nto 0);-減計(jì)數(shù)light,c,d:out std_logic;out1,out2,out3,out4:out std_logic_vector(3 dow nto 0);end comp onent;comp onent choice isport(clk:std_logic;-位選-顯示輸出f1,f2,f3,f4,f5:in stdo gic_vector(3 dow

14、nto 0); o1:out std_logic_vector(2 dow nto 0);o2:out std_logic_vector(3 dow nto 0);end comp onent;comp onent view isport(-scree n:i n std_logic;f1:i n std_logic_vector(2 dow nto 0);f2:i n std_logic_vector(3 dow nto 0); segout:out std_logic_vector(7 dow nto 0); selout:out std_logic_vector(5 dow nto 0)

15、;end comp onent;comp onent fires isport(res,clk:in std_logic;firee:i n std_logic_vector(1 dow nto 0);red:out std_logic_vector(7 dow nto 0);yellow:out std_logic_vector(7 dow nto 0);r:out std_logic_vector(7 dow nto 0);end comp onent;comp onent shaokao isport(clk,set:i n std_logic;o2:out std_logic_vect

16、or(3 dow nto 0);end comp onent;comp onent beep isport(clks,zero,kzero:i n std_logic;light:out std_logic);end comp onent;comp onent jin dutiao isport(clk,res:i n std_logic; i1,i2,i3,i4,c1,c2,c3,c4:in std_logic_vector(3 downto 0);ledd:out std_logic_vector(7 dow nto 0);end comp onent;comp onent doudo n

17、g isport(clk,res, min 10, min 1,sec10,sec1,huo,moshi:i n std_logic;resn,min10n,min1n, sec10 n, sec1 n,hu on,m osh in:out std_logic);end comp onent;sig nal b,c,d,e,f,g,h,i,j,k:std_logic_vector(3 dow nto 0);sig nal a,pp,zc,rest11,mi n1011,mi n111,sec1011,sec111,huo11,moshi11,clk22:std_logic;sig nal q:

18、std_logic_vector(2 dow nto 0);sig nal fir:std_logic_vector(1 dow nto 0);sig nal p:std_logic_vector(3 dow nto 0);beginu1: fenpin port map (clk=clk1,outclk2=clk22,outclk=a);u2: first port map (clk=clk1,res=rest11,o1=b,o2=c,o3=d,o4=e,s0=sec111,s 1= sec1011,s2=mi n111,s3=mi n1011,ffire=huo11,firest=fir)

19、;u3:jianjishu port map (clks=a,start=startt,res=rest, in 1=b,i n2=c,i n3=d,i n4=e,out1=f,out2=g,out3=h,out4=i,c=zc,d=pp);u4:choice port map(Clk=clk22,f1=f,f2=g,f3=h,f4=i,f5=k,o1=q,o2=p);u5:view port map (f1=q,f2=p,segout=seg,selout=sel);u6:fires port map (clk=clk22,res=rest11,firee=fir,red=redd,yell

20、ow=yel,r=row);u7:shaokao port map (clk=clk1,set=moshi11,o2=k);u8:beep port map (clks=a,zero=zc,light=lighto,kzero=pp);u9:ji ndutiaoportmap(clk=clk1,res=rest,i1=b,i2=c,i3=d,i4=e,c1=f,c2=g,c3=h,c4=i,ledd=led);u10:doudo ngportmap(clk=clk1,res=rest,m in 10=fen1,min 1=fe n0 ,sec10=miao1,sec1=miao0,huo=fi

21、re,mosh i=st,resn= restll, min10n=min 1011, min1n=min 111,sec10 n=sec1011,sec1 n=sec111,hu on= huo11,mosh in= moshill);end three;4.2分頻器fenpinlibrary ieee;use ieee.std _lo gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity fenpin isport(clk:in std_logic;);en d;architecture first of fenpin issig

22、 nal Q1,Q2:std_logic;-sig nal Q2:std_logic;beginon e:process(clk)variable count1:integer range 0 to 49999999;beginQ149999999 then Q1=1;count1:=0;elsif clkeve nt and clk=1 the n coun t1:=co un t1+1;end if;end process;two:process(clk)variable count2:integer range 0 to 2999;beginQ22999 then Q2=1;count2

23、:=0;elsif clkevent and clk=1 then count2:=count2+1;-分出 100Hz 信號(hào)end if;end process;outclk=Q1;outclk2=Q2;en d;4.3初始化模塊firstlibrary ieee;use ieee.std _lo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity first isport(clk,res,s0,s1,s2,s3,ffire:i n std_logic;o1,o2,o3,o4:out stdo gic_vector(3 dow nt

24、o 0);firest:out std_logic_vector(1 dow nto 0);en d;architecture two of first issig nal q1,q2,q3,q4:std_logic_vector(3 dow nto 0);beginprocess(clk,res,q4)variable ss:std_logic_vector(3 dow nto 0);variable f:std_logic_vector(1 dow nto 0);beginif (clkeve nt and clk=1)the nss(0):=s0;ss(1):=s1;ss(2):=s2;

25、ss(3):=s3;if res=1 then q1=0000;q2=0000;q3=0000;q4=0000;firest if q19 then q1=q1+1;else q1 if q25 then q2=q2+1;else q2 if q39 then q3=q3+1;else q3 if q45 then q4=q4+1;else q4nu II;end case;end if;end if;firest=f;end process;o1=q1;o2=q2;o3=q3;o4=q4;en d;-秒位加數(shù)-十秒位加數(shù)-分位加數(shù)-十分位加數(shù)4.4減計(jì)數(shù)器計(jì)時(shí)模塊jianjishulibra

26、ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all;en tity jianjishu isport(clks,start,res:i n std_logic;in 1,i n2,i n3,i n4:i n std_logic_vector(3 dow nto 0);light,c,d:out std_logic;out1,out2,out3,out4:out std_logic_vector(3 dow nto 0);en d;architecture one of jianjishu issig na

27、l q1,q2,q3,q4:std_logic_vector(3 dow nto 0);beginprocess(start,clks,res,q4)variable a:std_logic_vector(1 dow nto 0);beginif res=1 the nq1=i n1;q2=i n2;q3=i n3;q4=i n4;-對(duì)信號(hào)都清零設(shè)置elsif q4=(in4-15) or start=0 then light=0;-當(dāng)q4=15或start=0時(shí)不進(jìn)行減計(jì)數(shù),同時(shí)LED不亮elsif start=1 the n-當(dāng)start=1時(shí)LED點(diǎn)亮同時(shí)開(kāi)始倒計(jì)時(shí)if (clkseve

28、 nt and clks=1 )the nif (in 1-q1)=0 then q1=(i n1-9);q2=q2+1;else q1=q1+1;end if;-q1開(kāi)始為0,當(dāng)q1=in1時(shí),對(duì)q1賦值為(in 1-9),為負(fù)數(shù),-同時(shí)對(duì)q2進(jìn)行加1,那么(in2-q2 )就可以視線減1,若不是那么q1=q1 + 1,可以實(shí)現(xiàn)-(in 1-q1 )從9開(kāi)始的倒計(jì)數(shù)if (in2-q2)=0 and (in 1-q1)=0 then q3=q3+1;q2=(i n2-5);q1=(i n1-9);end if;-當(dāng)輸出out仁0和out2=0時(shí)進(jìn)位減1,同時(shí)out仁9 , out2=5 ;i

29、f (in3-q3)=0 and (in2-q2)=0 and (in 1-q1)=0 then q4=q4+1;q3=(i n3-9);q2=(i n2-5);q1=(i n1-9);d=1;end if;if (in4-q4)=0 and (in3-q3)=0 and (in2-q2)=0 and (in 1-q1)=0 the n q4=in4 ;q3=in 3;q2=in 2;q1=in 1;c=1;end if;-當(dāng)所有計(jì)數(shù)完畢end if;end if;end process;out1=(i n1-q1);out2=(i n2-q2);out3=(i n3-q3);out4=(i

30、n4_q4);en d;4.5數(shù)據(jù)選擇器choicelibrary ieee;use ieee.std _lo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity choice isport(clk:in std_logic;f1,f2,f3,f4,f5:in stdo gic_vector(3 dow nto 0);o1:out std_logic_vector(2 dow nto 0);o2:out std_logic_vector(3 dow nto 0);end;architecture one of choice issig

31、 nal q:std_logic_vector(2 dow nto 0);beginprocess(clk,q)beginif clkeve nt and clk=1 the n q o2 o2 o2 o2 o2 n ull;end case;end process;-位選信號(hào)o1=q;en d;4.6數(shù)碼管顯示模塊viewlibrary ieee;use ieee.std _lo gic_1164.all;use ieee.std_logic_ un sig ned.all;en tity view isport(-scree n:i n std_logic;f1:in stdo gic_v

32、ector(2 dow nto 0);f2:i n stdo gic_vector(3 dow nto 0); segout:out std_logic_vector(7 dow nto 0); selout:out std_logic_vector(5 dow nto 0);en d;architecture one of view issig nal seg:std_logic_vector(6 dow nto 0);sig nal sel:std_logic_vector(5 dow nto 0);beginselout=sel;segout(6 dow nto 0)=seg; sel=

33、111110 when f1=0 else111101 when f1=1 else-相應(yīng)的數(shù)據(jù)選擇對(duì)應(yīng)的位進(jìn)行輸出-在第三個(gè)數(shù)碼管輸出小數(shù)點(diǎn)111011 when f1=2 else110111 when f1=3 else101111 when f1=4 else111111;segout(7) seg seg seg seg seg seg seg seg seg seg seg segn ull;end case;end process;en d;4.7點(diǎn)陣顯示火力library ieee;use ieee.std _lo gic_1164.all;use ieee.std_logic

34、_ un sig ned.all;en tity fires isport(res,clk:in std_logic;firee:i n std_logic_vector(1 dow nto 0);red:out std_logic_vector(7 dow nto 0);yellow:out std_logic_vector(7 dow nto 0);r:out std_logic_vector(7 dow nto 0);end fires;architecture a of fires issignal d0,d1,d2,d3,d4,d5,d6,d7:std_logic_vector(7

35、downto 0);sig nal c0,c1,c2,c3,c4,c5,c6,c7:std_logic_vector(7 dow nto 0);sig nal rv:std_logic_vector(3 dow nto 0);beginredd0=X00whe n firee=10else-hig power -1X00whe n firee=01else-mid power -1X00whe n firee=00else-low power -1X00;d1=X00whe n firee=10else-hig power -2X00whe n firee=01else-mid power -

36、2X00whe n firee=00else-low power -2X00;d2=X20whe n firee=10else-hig power -3XOOwhe n firee=01else-mid power -3X00whe n firee=00else-low power -3X00;d3=X77whe n firee=10else-hig power -4X07whe n firee=01else-mid power -4X00whe n firee=00else-low power -4X00;d4=X77whe n firee=10else-hig power -5X27whe

37、 n firee=01else-mid power -5X00whe n firee=00else-low power -5X00;d5=Xfbwhen firee=10else-hig power -6X73whe n firee=01else-mid power -6X20whe n firee=00else-low power -6X00;d6=Xdbwhe n firee=10else-hig power -7Xfbwhen firee=01else-mid power -7X73whe n firee=00else-low power -7X00;d7=X51when firee=1

38、0else-hig power -8X71when firee=01else-mid power -8X71when firee=00else-low power -8數(shù)字電路綜合實(shí)驗(yàn)一一簡(jiǎn)易微波爐控制器X00;yellowc0=X0fwhe n firee=1Oelsehig power -1X00whe n firee=01else-mid power -1X00whe n firee=00else-low power -1X00;c1=X0fwhe n firee=10else-hig power -2X00whe n firee=01else-mid power -2X00whe n firee=00else-low power -2X00;c2=X07whe n firee=10else-hig power -3X00whe n firee=01else-mid power -3X00whe n firee=00else-low power -3X00;c3=X07whe n firee=10else-hig power -4X07whe n firee=01else-mid powe

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論